0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

臺積電制程領(lǐng)先,英特爾追趕還需數(shù)年

章鷹觀察 ? 來源:TechNews科技新報 ? 作者:TechNews科技新報 ? 2018-09-28 14:21 ? 次閱讀

日前,證券公司分析師Mosesmann在8月底的一份報告中表示,處理器大廠英特爾Intel)在半導(dǎo)體制程上的瓶頸不只是10納米節(jié)點的延期,而且需要許多時間來解決這個問題,因為這將造成英特爾制程劣勢持續(xù)5年、6年、甚至7年時間。

此外,財經(jīng)網(wǎng)站《CNBC》也引用金融公司雷蒙詹姆斯(Raymond James)分析師Chris Caso的報告指出,目前英特爾落后的情況,將可能因此永遠(yuǎn)追不上對手。

Chris Caso在報告中表示,英特爾目前最大的問題就是10納米制程的延宕,因此預(yù)計未來兩年內(nèi)英特爾都不會推出10納米的服務(wù)器處理器。而這樣10納米制程延后的問題,也為競爭對手打開了一扇窗,而且這扇窗可能永遠(yuǎn)都不會關(guān)上。

Chris Caso強調(diào),雖然英特爾停滯不前,但競爭對手臺積電卻沒有。所以,當(dāng)英特爾要開始生產(chǎn)10納米制程的服務(wù)器處理器時,臺積電的生產(chǎn)制程已經(jīng)在更先進的制程上,繼續(xù)保持領(lǐng)先地位。

報告中進一步強調(diào),在新一代半導(dǎo)體制程技術(shù)上,臺積電在2018年量產(chǎn)了7納米制程,目前蘋果的A12及華為海思麒麟980處理器都是由臺積電的7納米制程所生產(chǎn)。而除了移動處理器之外,AMD的7納米制程CPUGPU也都將使用臺積電的7納米HPC高效能制程,預(yù)計2019年大規(guī)模量產(chǎn)。

反觀英特爾的10納米制程,雖然號稱與臺積電的7納米制程效能相同,但是卻要等到2020年才會大規(guī)模量產(chǎn)。而且,英特爾之后的7納米制程投資目前還是未知數(shù),但是競爭對手臺積電和三星都已經(jīng)相繼規(guī)劃了5納米以及3納米的制程發(fā)展。

其中,臺積電的5納米制程投資超過250億美元,預(yù)計2019年試產(chǎn),2020年量產(chǎn)。而3納米制程則計劃投資約為200億美元,2020年開始建廠,2021年完成設(shè)備安裝,預(yù)計2022年底到2023年初量產(chǎn)。

因此,盡管臺積電以及三星在5納米及3納米制程技術(shù)上仍存在一些不確定性,最終量產(chǎn)時間不一定如預(yù)期的完成。但是,這兩家廠商都已經(jīng)公開了未來兩代的制程發(fā)展藍(lán)圖。

相比之下,英特爾在10納米制程之后的節(jié)點上目前仍無相關(guān)訊息公布,包括晶圓廠的升級、擴建計劃都是還在未知狀態(tài)。所以,未來英特爾想要追回落后的差距,恐將是遙遙無期。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9748

    瀏覽量

    170643
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165698
  • 7納米
    +關(guān)注

    關(guān)注

    0

    文章

    54

    瀏覽量

    14835
  • 麒麟980
    +關(guān)注

    關(guān)注

    5

    文章

    399

    瀏覽量

    22179
收藏 人收藏

    評論

    相關(guān)推薦

    英特爾3nm芯片訂單,開啟晶圓生產(chǎn)新篇章

    近日,據(jù)業(yè)界知情人士透露,全球知名的半導(dǎo)體制造巨頭已成功獲得英特爾即將推出的筆記本電腦處理器系列的3nm芯片訂單,標(biāo)志著雙方合作的新里程碑。據(jù)悉,
    的頭像 發(fā)表于 06-20 09:26 ?513次閱讀

    英特爾CEO誓言奪回芯片領(lǐng)導(dǎo)地位

    英特爾CEO帕特·基辛格近日在采訪中堅定表示,公司的首要任務(wù)是奪回芯片領(lǐng)域的領(lǐng)導(dǎo)地位。近年來,隨著和三星電子的崛起,英特爾在全球芯片市
    的頭像 發(fā)表于 06-07 09:23 ?525次閱讀

    英特爾今年將建2nm晶圓廠

    英特爾有意在此領(lǐng)域領(lǐng)跑,成為首家實現(xiàn)2納米芯片商業(yè)化的晶圓代工廠。該公司的新款PC處理器Arcturus Lake將成為2納米制程加持下的首批產(chǎn)品,其余職位則交給了
    的頭像 發(fā)表于 03-27 16:10 ?264次閱讀

    英特爾引領(lǐng)半導(dǎo)體行業(yè)先進封裝技術(shù)創(chuàng)新

    這一聯(lián)盟目前有超過120家企業(yè)加盟,包括、三星、ASE、AMD、ARM、高通、谷歌、Meta(Facebook)、微軟等業(yè)界翹楚,由英特爾擔(dān)當(dāng)主導(dǎo)力量。該聯(lián)盟旨在創(chuàng)建全新Chip
    的頭像 發(fā)表于 03-20 09:55 ?394次閱讀

    英特爾CFO稱將持續(xù)從采購,18A節(jié)點爭取少量代工訂單

    辛斯納強調(diào),盡管當(dāng)前不完全依賴,但英特爾
    的頭像 發(fā)表于 03-18 10:19 ?353次閱讀

    英特爾CFO承諾維持與合作,將在18A節(jié)點獲得少量代工訂單

    據(jù)3月15日消息,在摩根士丹利TMT會上,英特爾CFO辛斯納透露,英特爾將繼續(xù)作為的客戶,希望能在18A節(jié)點獲得少量代工訂單。談及公司
    的頭像 發(fā)表于 03-15 14:39 ?625次閱讀

    英特爾1nm投產(chǎn)時間曝光!領(lǐng)先

    英特爾行業(yè)芯事
    深圳市浮思特科技有限公司
    發(fā)布于 :2024年02月28日 16:28:32

    英特爾將進軍Arm芯片領(lǐng)域并不斷追趕的代工市場份額!

    2月27日消息,近日在接受 Tom's Hardware 采訪時,英特爾代工負(fù)責(zé)人斯圖爾特?潘(Stu Pann)表示將會進軍 Arm 芯片,并不斷追趕
    的頭像 發(fā)表于 02-28 10:07 ?450次閱讀

    英特爾宣布推進1.4納米制程

    和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實現(xiàn)了5納米制程。然而,這一決定表明
    的頭像 發(fā)表于 02-23 11:23 ?378次閱讀

    英特爾委任代工CPU,提升其運營實力

    基辛格在英特爾“IFS Direct Connect 2024”大會上接受采訪時表示,該訂單涉及對臺的3納米訂單中占較大比例的CPU芯片塊,對行業(yè)和市場產(chǎn)生重大影響。此前,盡管市場對于英特
    的頭像 發(fā)表于 02-23 09:52 ?893次閱讀

    英特爾采購2nm產(chǎn)能,助推2026年處理器性能升級

    然而,掌握該技術(shù)優(yōu)勢的卻非獨享收益。蘋果作為優(yōu)質(zhì)客戶,率先于2025年運用此工藝生產(chǎn)iPhone 17 Pro機型A19Pro芯片。同時,
    的頭像 發(fā)表于 01-31 13:54 ?442次閱讀

    英特爾18A重回工藝領(lǐng)先地位?:沒可能

    關(guān)鍵因素上來,也就是半導(dǎo)體制造工藝。 ? 在英特爾宣布開展IDM 2.0后,芯片設(shè)計廠商們的選擇一下多了起來,英特爾、三星和都能為其提
    的頭像 發(fā)表于 01-23 00:19 ?2798次閱讀

    英特爾,大戰(zhàn)一觸即發(fā)

    和三星可能會跟隨英特爾落后一兩年進入背面供電領(lǐng)域。
    的頭像 發(fā)表于 01-03 16:09 ?759次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,大戰(zhàn)一觸即發(fā)

    、三星、英特爾先進制程競爭白熱化

    英特爾執(zhí)行長PatGelsinger 透露,18A 已取得三家客戶代工訂單,希望年底前爭取到第四位客戶,先進制程18A 計劃于2024 年底開始生產(chǎn),其中一位客戶已先付款,外界預(yù)期可能是英偉達或高通。
    的頭像 發(fā)表于 11-19 10:08 ?1075次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>、三星、<b class='flag-5'>英特爾</b>先進<b class='flag-5'>制程</b>競爭白熱化

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋果、英特爾的芯片王朝

    高通英特爾蘋果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07