0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ug1292深度解析

電子工程師 ? 來(lái)源:未知 ? 作者:李倩 ? 2018-10-12 11:19 ? 次閱讀

ug1292第一頁(yè)的主題是初始設(shè)計(jì)檢查。這一步是針對(duì)綜合后或者opt_design階段生成的dcp。盡管在Vivado下,從功能仿真到綜合、布局布線、直至生成.bit文件是相對(duì)自動(dòng)化的流程,但是解決時(shí)序違例仍然是一個(gè)復(fù)雜且耗時(shí)的過(guò)程。僅僅靠log信息或者布線后的時(shí)序報(bào)告往往很難定位,這是因?yàn)閷?shí)現(xiàn)過(guò)程中的每一步(opt_design邏輯優(yōu)化,place_design布局, phys_opt_design物理優(yōu)化, route_design布線)都會(huì)做一些優(yōu)化,這些優(yōu)化可能會(huì)導(dǎo)致關(guān)鍵路徑被掩蓋,例如,有時(shí)發(fā)現(xiàn)設(shè)計(jì)中邏輯級(jí)數(shù)(Logic Level)較高的路徑時(shí)序收斂了,反倒是邏輯級(jí)數(shù)較低甚至為0的路徑出現(xiàn)時(shí)序違例。因此,采取按部就班的策略,檢查每一步的結(jié)果,及時(shí)且盡早發(fā)現(xiàn)設(shè)計(jì)中的問(wèn)題是很有必要的。

初始設(shè)計(jì)檢查流程如下圖所示。對(duì)象是綜合后或opt_design階段生成的dcp。會(huì)依次執(zhí)行三個(gè)命令(圖中紅色標(biāo)記),生成三個(gè)報(bào)告:FailFast報(bào)告、時(shí)序報(bào)告和UFDM(UltraFast Design Methodology)報(bào)告。

(圖片來(lái)源ug1292, page 1)

report_failfast的一個(gè)便利之處是可以給出各類資源利用率的上限,如下圖所示,這是Vivado自帶例子工程cpu的FailFast報(bào)告??梢钥吹?,對(duì)于LUT,利用率應(yīng)控制在70%以內(nèi);觸發(fā)器(FD)應(yīng)控制在50%以內(nèi);BlockRAMDSP48可以達(dá)到80%。在這個(gè)報(bào)告中尤其要關(guān)注Status為Review的條目,這是會(huì)給時(shí)序收斂帶來(lái)負(fù)面影響的,需要優(yōu)化的。對(duì)于設(shè)計(jì)中存在Pblock情形,report_failfast提供了-pblock選項(xiàng),對(duì)于SSI器件,report_failfast提供了-slr和-by_slr(需要在place_design階段生成的dcp下使用)選項(xiàng)。這樣,可針對(duì)某個(gè)pblock或某個(gè)SLR進(jìn)行分析。

report_timing_summary可以生成時(shí)序報(bào)告,除了查看時(shí)序違例路徑之外,該報(bào)告還可顯示時(shí)序約束是否存在潛在問(wèn)題。如下圖所示,Check Timing下包含12個(gè)條目,這個(gè)階段需要格外關(guān)注是否有未約束的時(shí)序路徑,是否有Timing loop,同時(shí)還要關(guān)注時(shí)鐘約束是否合理。

report_methodology可以生成UFDM報(bào)告。該命令不僅可以檢查RTL代碼存在的問(wèn)題,例如Block RAM沒(méi)有使用內(nèi)部Embedded Registers,DSP48用做乘法器時(shí)沒(méi)有使能MREG等,還可以檢查時(shí)序約束存在的問(wèn)題。如圖所示,要尤其關(guān)注其中的Bad Practice。

對(duì)于這三個(gè)報(bào)告中存在的問(wèn)題,要盡可能地在綜合階段或者opt_design階段加以解決,最終確保這三個(gè)報(bào)告足夠“干凈”,即所有隱患都被消除。

此外,對(duì)于大規(guī)模的設(shè)計(jì),可針對(duì)設(shè)計(jì)中的關(guān)鍵模塊使用上述三個(gè)命令,因?yàn)檫@些關(guān)鍵模塊很有可能成為時(shí)序收斂的瓶頸。為了使用這三個(gè)命令,可以對(duì)關(guān)鍵模塊采用OOC(Out-of-Context)的綜合方式或單獨(dú)創(chuàng)建Vivado工程以便生成相應(yīng)的dcp。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 自動(dòng)化
    +關(guān)注

    關(guān)注

    28

    文章

    5386

    瀏覽量

    78626
  • 乘法器
    +關(guān)注

    關(guān)注

    8

    文章

    204

    瀏覽量

    36850

原文標(biāo)題:深度解析ug1292(1)

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    I2C通信設(shè)計(jì)深度解析

    I2C通信設(shè)計(jì)深度解析
    發(fā)表于 08-12 21:31

    AUTOSAR架構(gòu)深度解析 精選資料推薦

    AUTOSAR架構(gòu)深度解析本文轉(zhuǎn)載于:AUTOSAR架構(gòu)深度解析目錄AUTOSAR架構(gòu)深度解析A
    發(fā)表于 07-28 07:40

    AUTOSAR架構(gòu)深度解析 精選資料分享

    AUTOSAR架構(gòu)深度解析本文轉(zhuǎn)載于:AUTOSAR架構(gòu)深度解析AUTOSAR的分層式設(shè)計(jì),用于支持完整的軟件和硬件模塊的獨(dú)立性(Independence),中間RTE(Runtime
    發(fā)表于 07-28 07:02

    C語(yǔ)言深度解析

    C語(yǔ)言深度解析,本資料來(lái)源于網(wǎng)絡(luò),對(duì)C語(yǔ)言的學(xué)習(xí)有很大的幫助,有著較為深刻的解析,可能會(huì)對(duì)讀者有一定的幫助。
    發(fā)表于 09-28 07:00

    LM1292 pdf,LM1292 datasheet

    LM1292Video PLL System for Continuous-Sync MonitorsGeneral DescriptionThe LM1292 is a very low
    發(fā)表于 09-17 00:36 ?25次下載

    ug1292時(shí)序收斂快速參考手冊(cè)

    這個(gè)手冊(cè)與ug949的理念是一致的即”盡可能地把所有問(wèn)題放在設(shè)計(jì)初期解決“。寧可在設(shè)計(jì)初期花費(fèi)更多的時(shí)間,也不要等到布局布線后才開(kāi)始發(fā)現(xiàn)問(wèn)題再解決問(wèn)題。因?yàn)?,在設(shè)計(jì)后期,往往會(huì)面臨牽一發(fā)而動(dòng)全身的被動(dòng)局面。即使一個(gè)小的改動(dòng)都有可能花費(fèi)很多的時(shí)間和精力甚至造成返工。
    的頭像 發(fā)表于 10-09 10:52 ?3308次閱讀
    <b class='flag-5'>ug1292</b>時(shí)序收斂快速參考手冊(cè)

    深度解析ug1292建立時(shí)間違例分析流程

    上述四個(gè)數(shù)值,無(wú)論是在timing report(通過(guò)report_timing_summary生成)還是design analysis report(通過(guò)report_design_analysis生成)中都有所體現(xiàn)。以timingreport為例,如下圖所示,可清晰地顯示上述四個(gè)數(shù)值。
    的頭像 發(fā)表于 10-19 10:32 ?5418次閱讀

    淺談ug1292中的降低邏輯延遲的解決方案

    我們需要關(guān)注兩類路徑。一類路徑是由純粹的CLB中的資源(FF,LUT,Carry,MUXF)構(gòu)成的路徑;另一類則是Block(DSP,BRAM,URAM,GT)之間的路徑。
    的頭像 發(fā)表于 10-27 08:43 ?7018次閱讀
    淺談<b class='flag-5'>ug1292</b>中的降低邏輯延遲的解決方案

    UG1292使用之初始設(shè)計(jì)檢查使用說(shuō)明

    UG1292第一頁(yè)是針對(duì)綜合后或者opt_design階段的使用說(shuō)明。vivado的基本流程有5步(其實(shí)不同策略可以更多,具體使用方法和好處以后講),分別是synth_design
    的頭像 發(fā)表于 10-30 10:25 ?3288次閱讀
    <b class='flag-5'>UG1292</b>使用之初始設(shè)計(jì)檢查使用說(shuō)明

    深度解析ug1292:降低布線延遲

    當(dāng)整體資源利用率達(dá)到70%~80%時(shí)(對(duì)于多die芯片,這個(gè)數(shù)值是指每個(gè)SLR的資源利用率),需要砍掉一些模塊以降低資源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同時(shí)出現(xiàn)大于80%的情形。如果BRAM/DSP/URAM這些Block利用率無(wú)法降低,那么要確保LUT利用率低于60%。
    的頭像 發(fā)表于 10-30 10:47 ?4317次閱讀

    DC1292A-設(shè)計(jì)文件

    DC1292A-設(shè)計(jì)文件
    發(fā)表于 04-12 10:10 ?1次下載
    DC<b class='flag-5'>1292</b>A-設(shè)計(jì)文件

    DC1292A-模式

    DC1292A-模式
    發(fā)表于 04-17 18:16 ?0次下載
    DC<b class='flag-5'>1292</b>A-模式

    DC1292A DC1292A評(píng)估板

    電子發(fā)燒友網(wǎng)為你提供ADI(ti)DC1292A相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有DC1292A的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,DC1292A真值表,DC1292A管腳等資料
    發(fā)表于 09-03 19:00

    AD1292R芯片手冊(cè)

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采樣 24 位 Δ-Σ 模數(shù)轉(zhuǎn)換器 (ADC),它們具有內(nèi)置的可編程增益放大器 (PGA)、內(nèi)部基準(zhǔn)和板載振蕩器。ADS1291
    發(fā)表于 04-07 17:58 ?5次下載

    UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(UG1292)

    電子發(fā)燒友網(wǎng)站提供《UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(UG1292).pdf》資料免費(fèi)下載
    發(fā)表于 09-15 10:38 ?0次下載
    UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南(<b class='flag-5'>UG1292</b>)