0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技加快下一代設(shè)計 設(shè)計平臺成功獲的TSMC 5nm EUV工藝技術(shù)認(rèn)證

西西 ? 作者:廠商供稿 ? 2018-10-23 14:29 ? 次閱讀

此項認(rèn)證為先進客戶設(shè)計提供了經(jīng)過驗證的、可隨時投產(chǎn)的流程。

重點:

IC Compiler II和Design Compiler Graphical提供了統(tǒng)一流程,實現(xiàn)最低功耗、最佳性能和最優(yōu)面積。

StarRC、PrimeTime和PrimeTime PX支持全流程設(shè)計實現(xiàn)并提供時序和功耗分析的signoff支持。

具有先進仿真解決方案的新思科技定制設(shè)計平臺支持最新5nm設(shè)計規(guī)則和FinFET器件模型。

2018年10月23日,中國 北京——新思科技(Synopsys, Inc.,納斯達克股票市場代碼: SNPS)宣布,新思科技數(shù)字和定制設(shè)計平臺通過了TSMC最先進的5nm EUV工藝技術(shù)認(rèn)證。該認(rèn)證是多年廣泛合作的結(jié)果,旨在提供更優(yōu)化的設(shè)計解決方案,加快下一代設(shè)計的發(fā)展進程。

Design Compiler? Graphical綜合工具經(jīng)過了嚴(yán)格的5nm啟用驗證,并證明了與IC Compiler? II布局布線工具在時序、面積、功耗和布線擁塞方面的相關(guān)一致性。Design Compiler Graphical 5nm創(chuàng)新技術(shù)可以實現(xiàn)最佳性能、最低功耗和最優(yōu)面積,這些新技術(shù)包括過孔支柱優(yōu)化、多位庫和引腳接入優(yōu)化。

IC Compiler II的增強功能是滿足設(shè)計密度要求的關(guān)鍵。在優(yōu)化過程中可內(nèi)在地處理復(fù)雜的、多變量以及二維的單元布局,同時最大限度提高下游可布線性以及整體的設(shè)計收斂。

新思科技PrimeTime?時序分析和signoff解決方案中的POCV分析已得到增強,能夠準(zhǔn)確地捕獲由于工藝縮放和通常用于實現(xiàn)能源效率而采用的低電壓操作導(dǎo)致的非線性變化。此外,PrimeTime物理感知ECO已擴展到能夠支持更復(fù)雜的版圖規(guī)則,以改善擁塞、布局和引腳接入感知。

TSMC設(shè)計基礎(chǔ)設(shè)施市場部資深總監(jiān)Suk Lee表示,“5nm EUV技術(shù)是TSMC的核心里程碑,在提供業(yè)界最佳的工藝技術(shù)方面繼續(xù)擴大了我們在更廣泛行業(yè)中的領(lǐng)先地位。我們一直保持與新思科技的密切合作,簡化設(shè)計流程并縮短上市時間,以幫助我們的共同用戶在這一新的工藝節(jié)點上使用新思科技設(shè)計平臺。此次合作最大程度地使該工藝在高性能計算和超低功耗移動應(yīng)用上得以發(fā)揮優(yōu)勢。我們期待為下一代工藝節(jié)點繼續(xù)合作?!?/p>

新思科技芯片設(shè)計事業(yè)部營銷與商務(wù)開發(fā)副總裁Michael Jackson表示,“我們始終保持與TSMC廣泛合作,幫助我們的共同用戶在新思科技設(shè)計平臺上充分利用TSMC 5nm工藝技術(shù)的優(yōu)勢,從而加快世界領(lǐng)先的高密度芯片從設(shè)計到生產(chǎn)的過程,實現(xiàn)最低功耗、最佳性能和最優(yōu)面積?!?/p>

新思科技設(shè)計平臺相關(guān)技術(shù)文件、庫和寄生參數(shù)數(shù)據(jù)可以從TSMC獲得,并用于5nm工藝技術(shù)。通過TSMC 5nm FinFET工藝認(rèn)證的新思科技設(shè)計平臺的關(guān)鍵工具和功能包括:

IC Compiler II布局和布線:全自動、全著色布線和提取支持,新一代布局及布局合法化技術(shù)能夠進一步減少單元占用空間,以及面向高設(shè)計利用率的先進布局合法化技術(shù)和引腳接入建模。

PrimeTime時序signoff:針對低電壓和增強型ECO技術(shù)的先進片上變異建模,支持新的物理設(shè)計規(guī)則。

PrimeTime PX功耗分析:先進的功耗建模,可準(zhǔn)確分析超高密度標(biāo)準(zhǔn)單元設(shè)計的漏電影響。

StarRC提取signoff:先進的建模以處理5nm器件的復(fù)雜性,以及一套通用技術(shù)文件用于保證從邏輯綜合到布局布線到signoff的寄生參數(shù)提取一致性。

IC Validator物理signoff:原生開發(fā)的合格DRC、LVS和金屬填充運行集,與TSMC設(shè)計規(guī)則同時發(fā)布。

HSPICE?、CustomSim?和FineSim?仿真解決方案:支持Monte Carlo的FinFET器件建模,以及精確的電路仿真結(jié)果,用于模擬、邏輯、高頻和SRAM設(shè)計。

CustomSim可靠性分析:針對5nm EM規(guī)則的精確動態(tài)晶體管級IR/EM分析。

Custom Compiler?定制設(shè)計:支持全新5nm設(shè)計規(guī)則、著色流程、多晶硅通道區(qū)域以及新的MEOL連接要求。

NanoTime定制設(shè)計時序分析:針對5nm器件的運行時間和內(nèi)存優(yōu)化,F(xiàn)inFET堆的POCV分析,以及面向定制邏輯、宏單元和嵌入式SRAM的增強型信號完整性分析。

ESP-CV定制設(shè)計功能驗證:面向SRAM、宏單元和庫單元設(shè)計的晶體管級符號等價性檢查。

關(guān)于新思?

新思科技(Synopsys, Inc.,納斯達克股票市場代碼: SNPS)致力于創(chuàng)新改變世界,在芯片到軟件的眾多領(lǐng)域,新思科技始終引領(lǐng)技術(shù)趨勢,與全球科技公司緊密合作,共同開發(fā)人們所依賴的電子產(chǎn)品和軟件應(yīng)用。新思科技是全球排名第一的芯片自動化設(shè)計解決方案提供商,全球排名第一的芯片接口IP供應(yīng)商,同時也是信息安全和軟件質(zhì)量的全球領(lǐng)導(dǎo)者。作為半導(dǎo)體、人工智能汽車電子及軟件安全等產(chǎn)業(yè)的核心技術(shù)驅(qū)動者,新思科技的技術(shù)一直深刻影響著當(dāng)前全球五大新興科技創(chuàng)新應(yīng)用:智能汽車、物聯(lián)網(wǎng)、人工智能、云計算和信息安全。

新思科技成立于1986年,總部位于美國硅谷,目前擁有13000多名員工,分布在全球100多個分支機構(gòu)。2018財年預(yù)計營業(yè)額31億美元,擁有3000多項已批準(zhǔn)專利,為美國標(biāo)普500指數(shù)成分股龍頭企業(yè)。

自1995年在中國成立新思科技以來,新思科技已在北京、上海、深圳、廈門、武漢、西安、南京、香港、澳門九大城市設(shè)立機構(gòu),員工人數(shù)超過1100人,建立了完善的技術(shù)研發(fā)和支持服務(wù)體系,秉持“加速創(chuàng)新、推動產(chǎn)業(yè)、成就客戶”的理念,與產(chǎn)業(yè)共同發(fā)展,成為中國半導(dǎo)體產(chǎn)業(yè)快速發(fā)展的優(yōu)秀伙伴和堅實支撐。新思科技攜手合作伙伴共創(chuàng)未來,讓明天更有新思!

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • TSMC
    +關(guān)注

    關(guān)注

    3

    文章

    177

    瀏覽量

    84351
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    775

    瀏覽量

    50191
  • EUV
    EUV
    +關(guān)注

    關(guān)注

    8

    文章

    600

    瀏覽量

    85848
收藏 人收藏

    評論

    相關(guān)推薦

    消息稱下一代奧迪A5將首搭華為智駕方案

    近日,汽車行業(yè)傳來振奮人心的消息,下一代奧迪A5車型將在中國市場首次搭載華為智能駕駛解決方案,這舉措標(biāo)志著奧迪在智能駕駛領(lǐng)域的又重大突破。據(jù)悉,這款新車基于先進的PPC
    的頭像 發(fā)表于 08-16 17:29 ?814次閱讀

    今日看點丨消息稱下一代奧迪 A5將首次搭載華為智駕方案;思科全球裁員6300人

    1. 消息稱下一代奧迪 A5 在中國將首次搭載華為智駕方案 ? 據(jù)報道,下一代奧迪 A5 基于 PPC 平臺打造,在中國將搭載華為智能駕駛解
    發(fā)表于 08-15 11:31 ?998次閱讀

    概倫電子NanoSpice通過三星代工廠3/4nm工藝技術(shù)認(rèn)證

    概倫電子(股票代碼:688206.SH)近日宣布其新一代大容量、高性能并行SPICE仿真器NanoSpice通過三星代工廠3/4nm工藝技術(shù)認(rèn)證,滿足雙方共同客戶對高精度、大容量和高性
    的頭像 發(fā)表于 06-26 09:49 ?461次閱讀

    24芯M16插頭在下一代技術(shù)中的潛力

      德索工程師說道隨著科技的飛速發(fā)展,下一代技術(shù)正逐漸展現(xiàn)出其獨特的魅力和潛力。在這背景下,24芯M16插頭作為種高性能、多功能的連接器,將在
    的頭像 發(fā)表于 06-15 18:03 ?178次閱讀
    24芯M16插頭在<b class='flag-5'>下一代</b><b class='flag-5'>技術(shù)</b>中的潛力

    思科技面向臺積公司先進工藝加速下一代芯片創(chuàng)新

    套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計流程能夠針對臺積公司N3/N3P和N2工藝,助力實現(xiàn)芯片設(shè)計成功,并加速模擬設(shè)計遷移。 新思科技物理驗證解決方案已獲得臺積公司N3P和N2工藝技術(shù)
    發(fā)表于 05-11 11:03 ?351次閱讀
    新<b class='flag-5'>思科</b>技面向臺積公司先進<b class='flag-5'>工藝</b>加速<b class='flag-5'>下一代</b>芯片創(chuàng)新

    NVIDIA的專用AI平臺如何推動下一代醫(yī)療健康行業(yè)的發(fā)展

    醫(yī)療科技創(chuàng)新企業(yè)在 GTC 上介紹了 NVIDIA 的專用 AI 平臺如何推動下一代醫(yī)療健康行業(yè)的發(fā)展。
    的頭像 發(fā)表于 04-09 10:10 ?1149次閱讀

    意法半導(dǎo)體將推出基于新技術(shù)下一代STM32微控制器

    意法半導(dǎo)體(ST)近日宣布,公司成功研發(fā)出基于18納米全耗盡絕緣體上硅(FD-SOI)技術(shù),并整合了嵌入式相變存儲器(ePCM)的先進制造工藝。這項新工藝技術(shù)是意法半導(dǎo)體與三星晶圓代工
    的頭像 發(fā)表于 03-28 10:22 ?433次閱讀

    TSMC和Synopsys將在生產(chǎn)中使用NVIDIA計算光刻平臺

    NVIDIA 于今日宣布,為加快下一代先進半導(dǎo)體芯片的制造速度并克服物理限制,TSMC 和 Synopsys 將在生產(chǎn)中使用 NVIDIA 計算光刻平臺。
    的頭像 發(fā)表于 03-20 09:52 ?308次閱讀

    三星攜手高通共探2nm工藝新紀(jì)元,為芯片技術(shù)樹立新標(biāo)桿

    三星與高通的合作正在不斷深化。高通計劃采納三星代工工廠的尖端全柵極(GAA)工藝技術(shù),以優(yōu)化和開發(fā)下一代ARM Cortex-X CPU。
    的頭像 發(fā)表于 02-25 15:31 ?690次閱讀

    三星與Arm攜手,運用GAA工藝技術(shù)提升下一代Cortex-X CPU性能

    三星繼續(xù)推進工藝技術(shù)的進步,近年來首次量產(chǎn)了基于2022年GAA技術(shù)的3nm MBCFET ? 。GAA技術(shù)不僅能夠大幅減小設(shè)備尺寸,降低供電電壓,增強功率效率,同時也能增強驅(qū)動電流,
    的頭像 發(fā)表于 02-22 09:36 ?471次閱讀

    科博達大眾集團下一代LED大燈控制器項目定點

    近日,科博達宣布已成功獲得德國奧迪下一代LED大燈控制器“平臺件”的項目定點。這重要的里程碑標(biāo)志著科博達在汽車照明控制領(lǐng)域取得了重大突破。
    的頭像 發(fā)表于 02-02 15:38 ?603次閱讀

    今日看點丨臺積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計劃 2025 年量產(chǎn);消息稱字節(jié)跳動將取消下一代 VR 頭顯

    1. 臺積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計劃 2025 年量產(chǎn) ? 臺積電在近日舉辦的 IEEE 國際電子器件會議(IEDM)的小組研討會上透露,其 1.4
    發(fā)表于 12-14 11:16 ?922次閱讀

    三星D1a nm LPDDR5X器件的EUV光刻工藝

    三星D1a nm LPDDR5X器件的EUV光刻工藝
    的頭像 發(fā)表于 11-23 18:13 ?868次閱讀
    三星D1a <b class='flag-5'>nm</b> LPDDR<b class='flag-5'>5</b>X器件的<b class='flag-5'>EUV</b>光刻<b class='flag-5'>工藝</b>

    高數(shù)值孔徑 EUV技術(shù)路線圖

    高數(shù)值孔徑EUV 今年的大部分討論都集中在EUV下一步發(fā)展以及高數(shù)值孔徑EUV的時間表和技術(shù)要求上。ASML戰(zhàn)略營銷高級總監(jiān)Michael
    發(fā)表于 11-23 16:10 ?582次閱讀
    高數(shù)值孔徑 <b class='flag-5'>EUV</b><b class='flag-5'>技術(shù)</b>路線圖

    思科技面向臺積公司N5A工藝技術(shù)推出領(lǐng)先的廣泛車規(guī)級IP組合

    思科技(Synopsys, Inc.)近日宣布,面向臺積公司N5A工藝推出業(yè)界領(lǐng)先的廣泛車規(guī)級接口IP和基礎(chǔ)IP產(chǎn)品組合,攜手臺積公司推動下一代“軟件定義汽車”發(fā)展,滿足汽車系統(tǒng)級芯
    的頭像 發(fā)表于 10-24 17:24 ?752次閱讀