0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog和VHDL之間如何互轉(zhuǎn)

電子工程師 ? 來源:未知 ? 作者:李倩 ? 2018-11-12 14:23 ? 次閱讀

1. FPGA學(xué)習(xí)經(jīng)歷

階段一:當(dāng)我們剛開始學(xué)習(xí)FPGA時,一定會問到一個問題:學(xué)習(xí)Verilog還是VHDL?

階段二:等我們學(xué)習(xí)FPGA到一定程度時參加面試時,面試者也會同樣問你一個問題:你以前用Verilog還是VHDL開發(fā)?

階段三:你已經(jīng)習(xí)慣某種語言,也發(fā)現(xiàn)語言不是學(xué)習(xí)FPGA時需要區(qū)分的問題,它僅僅是硬件描述語言而已??墒牵?dāng)你發(fā)現(xiàn)一份和你使用語言不同的代碼作為參考時,你又開始想:我以后的工作是不是要二種語言都會,這樣工作才會得心應(yīng)手?

階段四:已經(jīng)很少人用硬件描述語言了,都用C語言等高級語言開發(fā)了?

.....................

當(dāng)然階段四純屬個人的對未來的推測,但是,近年來,F(xiàn)PGA也高速發(fā)展,明顯有當(dāng)年匯編語言開發(fā)到C高級語言開發(fā)的趨勢,我們是不是應(yīng)該不局限于只學(xué)習(xí)FPGA,而要學(xué)習(xí)下嵌入式知識,特別是Xilin最新推出的ZYNQ就是最好的切入點,集ARM和FPGA開發(fā)與一體。但是,做FPGA的人很難入門嵌入式ARM/Linux,而做ARM/Linux的也很難入門FPGA,對于集ARM和FPGA開發(fā)一體的ZYNQ,更是入門難,很多公司都要多個人開發(fā)ZYNQ,但未來的趨勢肯定是FPGA2嵌入式,這也是本人開公眾號的目的。

言歸正傳,本節(jié)內(nèi)容要說的是,對于我們做FPGA開發(fā)人員來說,如何快速在Verilog和VHDL之間互轉(zhuǎn),加快開發(fā)產(chǎn)品的進度,而不是因為只懂某一種語言而局限了自己的開發(fā)。

2. Verilog和VHDL之間如何互轉(zhuǎn)

個人目前總結(jié)的有2種方法推薦給大家:

2.1 使用EDA軟件自帶的語言模板

在這里,以Vivado為例,打開它里面的語言模板后,可以對比查看Verilog和VHDL之間的差異,如下圖:

也可以搜索關(guān)鍵字,查看對應(yīng)的語言模板,如下圖,查看always和process時對應(yīng)的語言模板:

2.2 使用XHDL軟件完成Verilog與VHDL之間的轉(zhuǎn)換

另外,我們可以使用XHDL軟件來完成互相轉(zhuǎn)換。雖然它有一定的局限性,但還是足夠我們用。需要注意的是:語言代碼之間轉(zhuǎn)換時,要求代碼無語法錯誤,注釋為英文,不能為中文,否則就會互轉(zhuǎn)失敗。

XHDL軟件界面

XHDL測試文件(Verilog)

XHDL軟件運行完成后

XHDL生成的目標(biāo)文件(VHDL)

上面大致說明了XHDL的功能,但它也有一些限制,大家可以到網(wǎng)上下載后詳細(xì)適用,如果找不到源文件,可以私信我傳一份給你。

3. 總結(jié)

XHDL畢竟只是一個工具,能幫我們的有限,無法幫我們把所有的代碼一鍵互轉(zhuǎn),還是需要我們增強自己的基本理論,記住FPGA編程中和嵌入式語言開發(fā)不同的思維方式:即一切皆硬件的思維方式。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109749
  • C語言
    +關(guān)注

    關(guān)注

    180

    文章

    7575

    瀏覽量

    134483
  • vhdl
    +關(guān)注

    關(guān)注

    30

    文章

    816

    瀏覽量

    127966

原文標(biāo)題:Verilog與VHDL之間互轉(zhuǎn)工具(XHDL)推薦

文章出處:【微信號:FPGAer_Club,微信公眾號:FPGAer俱樂部】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何快速在VerilogVHDL之間互轉(zhuǎn)

    Verilog語言和VHDL語言是兩種不同的硬件描述語言,但并非所有人都同時精通兩種語言,所以在某些時候,需要把Verilog代碼轉(zhuǎn)換為VHDL代碼。本文以通用的XHDL工具為例對
    的頭像 發(fā)表于 11-10 15:41 ?1.1w次閱讀
    如何快速在<b class='flag-5'>Verilog</b>和<b class='flag-5'>VHDL</b><b class='flag-5'>之間</b><b class='flag-5'>互轉(zhuǎn)</b>

    例說Verilog HDL和VHDL區(qū)別

    VerilogVHDL之間的區(qū)別將在本文中通過示例進行詳細(xì)說明。對優(yōu)點和缺點的VerilogVHDL進行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2389次閱讀
    例說<b class='flag-5'>Verilog</b> HDL和<b class='flag-5'>VHDL</b>區(qū)別

    write_vhdl與write_verilog有什么差異?

    嗨,我試圖理解“write_vhdl”和“write_verilog之間的差異。我有一個設(shè)計,我想從中創(chuàng)建一個網(wǎng)表。設(shè)計是用VERILOG編寫的,我用“write_
    發(fā)表于 03-16 10:29

    VHDLVerilog 黃金參考手冊

    這兩本書是對VHDLVerilog語言的詳細(xì)剖析,對初學(xué)者而言是快速上手的經(jīng)典教材,對高手而言則是對語言深入了解的必備參考.
    發(fā)表于 07-08 15:53 ?154次下載

    VHDLVerilog HDL語言對比

    VHDLVerilog HDL語言對比 Verilog HDL和VHDL都是用于邏輯設(shè)計的硬件描述語言,并且都已成為IEEE標(biāo)準(zhǔn)。VHDL
    發(fā)表于 02-09 09:01 ?1w次閱讀

    Verilog HDL與VHDL及FPGA的比較分析

    Verilog HDL與VHDL及FPGA的比較分析. Verilog HDL優(yōu)點:類似C語言,上手容易,靈活。大小寫敏感。在寫激勵和建模方面有優(yōu)勢。
    發(fā)表于 01-11 10:45 ?1320次閱讀

    VHDL,Verilog,System verilog比較

    本文簡單討論并總結(jié)了VHDL、Verilog,System verilog 這三中語言的各自特點和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    verilogVHDL互轉(zhuǎn)化軟件

    一款基于verilogVHDL互轉(zhuǎn)化的軟件,用著很方便,很實用。
    發(fā)表于 03-21 17:26 ?20次下載

    VHDLVerilog互轉(zhuǎn)的軟件

    VHDLVerilog互轉(zhuǎn)的軟件, X-HDL v4.21 Crack.zip
    發(fā)表于 06-03 16:16 ?10次下載

    Xilinx Sdram 參考設(shè)計:含VerilogVHDL

    Xilinx FPGA工程例子源碼:含VerilogVHDL版本級詳細(xì)說明文檔
    發(fā)表于 06-07 14:54 ?0次下載

    vhdlverilog的區(qū)別_vhdlverilog哪個好?

    VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,誕生于1982年。1987年底,VHDL被IEEE和美
    發(fā)表于 03-23 16:43 ?12.3w次閱讀
    <b class='flag-5'>vhdl</b>和<b class='flag-5'>verilog</b>的區(qū)別_<b class='flag-5'>vhdl</b>和<b class='flag-5'>verilog</b>哪個好?

    vhdl轉(zhuǎn)換為verilog_VHDLVerilog誰更勝一籌

    今天給大家分享一個VHDLVerilog的工具。很多新手初次學(xué)習(xí)FPGA都曾遇到過一個問題:是學(xué)Verilog OR VHDL?
    發(fā)表于 08-25 09:22 ?6562次閱讀
    <b class='flag-5'>vhdl</b>轉(zhuǎn)換為<b class='flag-5'>verilog_VHDL</b>和<b class='flag-5'>Verilog</b>誰更勝一籌

    探討VHDLVerilog模塊互相調(diào)用的問題

    1、 關(guān)于如何在VHDL模塊調(diào)用一個Verilog模塊 在VHDL模塊聲明一個要與調(diào)用的Verilog模塊相同名稱的元件(component),元件的名稱和端口模式應(yīng)與
    的頭像 發(fā)表于 04-30 14:06 ?9917次閱讀
    探討<b class='flag-5'>VHDL</b>和<b class='flag-5'>Verilog</b>模塊互相調(diào)用的問題

    Verilog HDL verilog hdl和vhdl的區(qū)別

    Verilog HDL是一種以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的硬件描述語言,也可描述邏輯電路圖、邏輯表達(dá)式等。Verilog HDL和VHDL是目前主流的、最受歡迎的兩種硬件描述語言。
    的頭像 發(fā)表于 07-23 14:36 ?1.1w次閱讀

    VerilogVHDL轉(zhuǎn)換的經(jīng)驗與技巧總結(jié)

    VerilogVHDL語法是互通且相互對應(yīng)的,如何查看二者對同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言模板后,也可以對比查看VerilogVHD
    的頭像 發(fā)表于 04-28 17:47 ?1674次閱讀
    <b class='flag-5'>Verilog</b>到<b class='flag-5'>VHDL</b>轉(zhuǎn)換的經(jīng)驗與技巧總結(jié)