0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何使用report_failfast

電子工程師 ? 來源:未知 ? 作者:李倩 ? 2018-11-13 10:35 ? 次閱讀

安裝Design Utilities

使用report_failpast之前,要先確保Design Utilities已經(jīng)安裝。安裝方法是點(diǎn)擊Tools->Xilinx Tcl Store,如下圖所示。

02

report_failpast生成報(bào)告

report_failpast生成的報(bào)告分為三部分:設(shè)計(jì)特征、時(shí)鐘檢查和關(guān)鍵路徑分析,如下圖所示。其中在設(shè)計(jì)特征部分,該報(bào)告會(huì)給出資源利用率的建議值,一旦超過這個(gè)建議值,Status列內(nèi)會(huì)呈現(xiàn)REVIEW標(biāo)記。如圖中的FD(D觸發(fā)器),實(shí)際利用率為57.66%,超過建議值50%。同時(shí)該部分還會(huì)給出控制集分析(Control Set),可幫助判斷是否需要降低控制集。此外,對于不是由FD驅(qū)動(dòng)的扇出大于10K的net,這部分也會(huì)有所顯示。

圖片來源:page 10, ug1292

03

report_failpast使用方法

report_failpast除了基本的使用方法(不添加任何選項(xiàng))之外,還提供了其他的選項(xiàng)。例如,-pblock選項(xiàng)可用于分析Pblock對應(yīng)的約束是否合理。該選項(xiàng)可在布局之前使用(要求已經(jīng)提供了Pblock具體位置約束),也可在布局之后使用。顯然,在布局之前使用是非常有意義的,因?yàn)榭梢該?jù)此判定Pblock的約束是否合理。同時(shí),針對SSI芯片,該命令還提供了-slr和-by_slr選項(xiàng),這兩個(gè)選項(xiàng)需要在布局之后使用。此外,對于報(bào)告中Status為REVIEW的條目可通過選項(xiàng)-detailed_report生成相應(yīng)的更為詳細(xì)的報(bào)告,具體使用方法如下所示。例如,DONT_TOUCH為REVIEW狀態(tài),則該命令可生成impl.DONT_TOUCH.rpt報(bào)告,可顯示使用了DONT_TOUCH屬性的cell。

xilinx::designutils::report_failfast

-detailed_report impl -file failfast.rpt

report_failfast的另一特征在于既可以應(yīng)用于整個(gè)設(shè)計(jì),也可以針對某個(gè)指定的模塊進(jìn)行分析。例如,對于設(shè)計(jì)中的關(guān)鍵模塊采用此命令分析,可預(yù)先發(fā)現(xiàn)潛在的問題,從而加速時(shí)序收斂。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417149
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60870

原文標(biāo)題:深度解析ug1292(10)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA User Guide之report_cdc

    report_cdc 可以報(bào)告設(shè)計(jì)中所有的 cdc 路徑并將其分類(前提是時(shí)鐘被約束好),我們可以基于該報(bào)告來檢查設(shè)計(jì)中是否有不安全的 cdc 路徑。
    發(fā)表于 11-28 10:53 ?1132次閱讀

    如何通過report_utiliztion獲得切片比率?

    大家好,當(dāng)我運(yùn)行report_utilization時(shí),我沒有獲得切片使用百分比,只有FF / LUT / BRAM / DSP /等。如何通過report_utiliztion獲得切片比率?謝謝
    發(fā)表于 10-18 14:26

    求助,求USB使用Set Report和Get Report的實(shí)現(xiàn)教程

    求USB使用Set Report和Get Report的實(shí)現(xiàn)教程
    發(fā)表于 09-23 07:29

    ETSI TECHNICAL REPORT ETR 289

    ETSI TECHNICAL REPORT ETR 289Digital Video Broadcasting (DVB);Support for use of scrambling and Conditional Access (CA)within digital broadcasting systems
    發(fā)表于 05-07 21:38 ?15次下載

    Reference Design Report for a

    Reference Design Report for a 5 W Charger Using LNK616PG:This engineering report describes a 5 W
    發(fā)表于 06-28 22:33 ?21次下載

    Reference Design Report for a

    Reference Design Report for a 2.78 W USB Charger Using LNK613DG:This engineering report describes a
    發(fā)表于 06-28 22:34 ?25次下載

    Reference Design Report for a

    Reference Design Report for a 36 W Continuous, 72 W Peak Power Supply Using PKS606YN This document
    發(fā)表于 06-28 22:41 ?21次下載

    Engineering Prototype Report f

    Engineering Prototype Report for EP-32 TOPSwitch®-GX 25 W Multiple Output DVD, Set-top Box
    發(fā)表于 02-08 18:25 ?49次下載

    WEBENCH ? Design Report_1054927/8_TPS61256YFFR

    WEBENCH ? Design Report,英文版資料,感興趣的小伙伴們可以看看。
    發(fā)表于 07-21 17:33 ?0次下載

    如何使用report_cdc命令分析、調(diào)試和修復(fù)CDC問題

    了解如何使用命令report_cdc分析,調(diào)試和修復(fù)設(shè)計(jì)中的CDC問題。 命令report_cdc是一個(gè)僅限TCL的命令,用于分析您的設(shè)計(jì)并識別設(shè)計(jì)中潛在的CDC結(jié)構(gòu)問題并將其標(biāo)記為安全或
    的頭像 發(fā)表于 11-21 06:05 ?4584次閱讀

    Report QoR Suggestions助力解決Vivado設(shè)計(jì)問題

    Report QoR Suggestions (RQS) 可識別設(shè)計(jì)問題,并提供工具開關(guān)和可影響工具行為的設(shè)計(jì)單元屬性的解決方案,即便在無法自動(dòng)執(zhí)行解決方案的情況下也可提供文本修改建議。
    的頭像 發(fā)表于 01-24 17:27 ?2833次閱讀
    <b class='flag-5'>Report</b> QoR Suggestions助力解決Vivado設(shè)計(jì)問題

    FPGA設(shè)計(jì):關(guān)于Report QoR Assessment 命令的使用

    Report QoR Assessment (RQA) 用于詳述您的設(shè)計(jì) QoR 目標(biāo)實(shí)現(xiàn)的可能性。如果此命令返回的結(jié)果與您的期望不符,那么本篇博文包含了有關(guān)您可采取的后續(xù)行動(dòng)的附加信息。本篇博文
    的頭像 發(fā)表于 09-29 13:49 ?3468次閱讀
     FPGA設(shè)計(jì):關(guān)于<b class='flag-5'>Report</b> QoR Assessment 命令的使用

    AD9217 and Intel Stratix 10 Interoperability report and Reference Design

    AD9217 and Intel Stratix 10 Interoperability report and Reference Design
    發(fā)表于 01-29 15:15 ?8次下載
    AD9217 and Intel Stratix 10 Interoperability <b class='flag-5'>report</b> and Reference Design

    Openerp PyChart Unicode Report

    openerp-pychart-unicode-report.zip
    發(fā)表于 04-18 10:29 ?0次下載
    Openerp PyChart Unicode <b class='flag-5'>Report</b>

    report_timing報(bào)告格式如何個(gè)性化配置?

    默認(rèn)report_timing中會(huì)出現(xiàn)換行的情況,如下圖所示,如何避免換行呢?
    的頭像 發(fā)表于 04-15 10:20 ?3109次閱讀