0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado教程之Vivado的對(duì)比不同Design Runs功能

電子工程師 ? 來(lái)源:未知 ? 作者:易水寒 ? 2018-11-25 11:05 ? 次閱讀

有時(shí)我們需要對(duì)比不同情形下的各類(lèi)報(bào)告,以偵測(cè)這些“不同”對(duì)設(shè)計(jì)的影響。所謂的“不同情形”通常有以下幾類(lèi):

-RTL代碼不同

-約束不同

-綜合策略不同

-實(shí)現(xiàn)策略不同

對(duì)比的報(bào)告可能包括:

-log文件

-資源利用率報(bào)告

-時(shí)序報(bào)告

-UFDM報(bào)告

-Control Set報(bào)告

-布線(xiàn)狀態(tài)報(bào)告

-功耗報(bào)告

一旦涉及到“對(duì)比”就要用到一些工具,例如Beyondcompare,但這個(gè)工具不是開(kāi)源的;或者vimdiff,這個(gè)是開(kāi)源的,但是脫離了Vivado,需要首先打開(kāi)vim,再通過(guò)vimdiff命令加載待比較的兩個(gè)文件。這就要求工程師對(duì)vim有一定的了解。好在Vivado提供了這個(gè)功能。

在Vivado 2018.2版本中,可通過(guò)如下幾個(gè)步驟實(shí)現(xiàn)“對(duì)比”。

1

選擇需要比較的兩個(gè)Runs,點(diǎn)擊右鍵,選擇Diff Selected Runs Report。這里只能選擇兩個(gè),多了無(wú)法對(duì)比。Runs可以是綜合,可以是實(shí)現(xiàn),但兩者必須是同一類(lèi)型。換言之,如果一個(gè)Run是綜合,另一個(gè)Run是實(shí)現(xiàn),這種情形是不支持的。如圖1所示。

圖1

2

選擇比較的內(nèi)容。如圖2所示,以Route Design為例,可比較的選項(xiàng)既包括log文件,也包括時(shí)序報(bào)告、資源利用率報(bào)告等。

圖2

3

查看不同。一旦選擇了比較內(nèi)容,就會(huì)顯示出兩個(gè)比較文件之間的差異,以高亮部分顯示,如圖3所示。

圖3

結(jié)論

Vivado提供了對(duì)比不同Design Runs生成的各種報(bào)告的功能,可方便用戶(hù)查看其中的差異,明確這些差異對(duì)設(shè)計(jì)造成的影響。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59520
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4671

    瀏覽量

    67771
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65856

原文標(biāo)題:Vivado下“找不同”

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    保存模塊在Vivado中的位置

    清楚如何實(shí)現(xiàn)它。謝謝。以上來(lái)自于谷歌翻譯以下為原文In a design we have, the Vivado implementation results vary between runs. We
    發(fā)表于 10-18 14:36

    如何訪(fǎng)問(wèn)Vivado Analyzer功能

    你好,我從Digilent購(gòu)買(mǎi)了一塊ZYBO板,并兌換了Vivado Design Suite附帶的優(yōu)惠券。今天我試圖實(shí)例化一個(gè)VIO核心,我的許可證出錯(cuò)了。如何訪(fǎng)問(wèn)Vivado Analyzer
    發(fā)表于 12-14 11:35

    Vivado Design Suite 2014.4.1 現(xiàn)已推出!

    Vivado? Design Suite 2014.4.1 現(xiàn)已推出,針對(duì) UltraScale? 器件進(jìn)行了更新,其中包括對(duì) Kintex? UltraScale XCKU040 生產(chǎn)的支持。該
    發(fā)表于 02-09 09:06 ?332次閱讀

    Vivado 2017.1和Vivado 2016.4性能對(duì)比分析

    此篇文章里,我們將通過(guò)使用InTime來(lái)檢驗(yàn)Vivado 2017.1和Vivado2016.4之間的性能對(duì)比。 概要:分別進(jìn)行了3個(gè)Vivado 2017.1對(duì)
    的頭像 發(fā)表于 07-04 11:23 ?1w次閱讀
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能<b class='flag-5'>對(duì)比</b>分析

    Vivado Design Suite 2015.3的新功能介紹

    了解Vivado實(shí)現(xiàn)中2015.3中的新增量編譯功能,包括更好地處理物理優(yōu)化和自動(dòng)增量編譯流程。
    的頭像 發(fā)表于 11-20 06:55 ?2511次閱讀

    Vivado Design Suite設(shè)計(jì)套件的UltraFast設(shè)計(jì)方法的介紹

    UltraFast設(shè)計(jì)方法對(duì)您在Vivado Design Suite中的成功至關(guān)重要。 介紹UltraFast for Vivado并了解可用的材料,以幫助您在整個(gè)設(shè)計(jì)周期中應(yīng)用UltraFast方法
    的頭像 發(fā)表于 11-20 06:48 ?2418次閱讀

    如何在Vivado Design Suite 中進(jìn)行IP加密

    此視頻概述了Vivado Design Suite中的IP加密。 它涵蓋了IP加密工具流程,如何準(zhǔn)備加密IP以及如何在Vivado中運(yùn)行加密工具。
    的頭像 發(fā)表于 11-20 06:34 ?6289次閱讀

    Vivado Design Suite的部分重配置的新功能介紹

    本視頻介紹了UltraScale +芯片的部分重配置功能,展示了Vivado Design Suite中部分重配置的新功能,并介紹了對(duì)部分重配置的更廣泛的訪(fǎng)問(wèn)權(quán)限
    的頭像 發(fā)表于 11-20 06:25 ?4067次閱讀

    Vivado Design Suite 2016.1的新功能介紹

    了解Vivado Design Suite 2016中的新功能。 我們將回顧新的UltraFast方法檢查,HDL模塊參考流程和用于IPI設(shè)計(jì)的SmartConnect IP,語(yǔ)言模板增強(qiáng),Xilinx參數(shù)化宏(XPM),GU
    的頭像 發(fā)表于 11-20 06:22 ?2441次閱讀

    Vivado Design Suite 2017.1的新功能介紹

    此視頻重點(diǎn)介紹了新的Vivado Design Suite 2017.1版本的增強(qiáng)功能,包括操作系統(tǒng)和設(shè)備支持,新外觀,部分重新配置廣泛可用性等等......
    的頭像 發(fā)表于 11-30 06:20 ?2717次閱讀
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite 2017.1的新<b class='flag-5'>功能</b>介紹

    關(guān)于Vivado 2019.1的Dashboard功能詳解

    關(guān)于Vivado Dashboard的功能可閱讀這篇文章(Vivado 2018.3這個(gè)Gadget你用了嗎)Vivado 2019.1的Dashboard
    的頭像 發(fā)表于 06-12 14:49 ?8134次閱讀
    關(guān)于<b class='flag-5'>Vivado</b> 2019.1的Dashboard<b class='flag-5'>功能</b>詳解

    Vivado Design Suite教程:動(dòng)態(tài)功能交換

    電子發(fā)燒友網(wǎng)站提供《Vivado Design Suite教程:動(dòng)態(tài)功能交換.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 15:13 ?0次下載
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite教程:動(dòng)態(tài)<b class='flag-5'>功能</b>交換

    Vivado Design Suite用戶(hù)指南:綜合

    電子發(fā)燒友網(wǎng)站提供《Vivado Design Suite用戶(hù)指南:綜合.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 15:47 ?0次下載
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用戶(hù)指南:綜合

    Vivado Design Suite用戶(hù)指南:使用約束

    電子發(fā)燒友網(wǎng)站提供《Vivado Design Suite用戶(hù)指南:使用約束.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 15:48 ?2次下載
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用戶(hù)指南:使用約束

    Vivado Design Suite用戶(hù)指南:使用Tcl腳本

    電子發(fā)燒友網(wǎng)站提供《Vivado Design Suite用戶(hù)指南:使用Tcl腳本.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 15:26 ?0次下載
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite用戶(hù)指南:使用Tcl腳本