0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UART的基本協(xié)議與設(shè)計實例模塊劃分以及整體實現(xiàn)概述

電子工程師 ? 來源:lq ? 2019-02-04 11:21 ? 次閱讀

UART(串口)收發(fā)模塊設(shè)計- 01

UART協(xié)議介紹

UART作為異步串口通信協(xié)議的一種,工作原理是將要傳輸?shù)臄?shù)據(jù)一位一位地傳輸。其中每一位(bit)的意義如下。

空閑位:在無數(shù)據(jù)傳輸?shù)臅r候,傳輸線上的電平為高電平,即為空閑位。

起始位:當(dāng)開始傳輸數(shù)據(jù)時,先發(fā)出1bit位寬的低電平,表示數(shù)據(jù)開始傳輸,即為起始位。

數(shù)據(jù)位:真正的需要發(fā)送的數(shù)據(jù),位寬可以為4到10bit。數(shù)據(jù)從低bit開始向外一位一位的發(fā)送。

奇偶校驗位(可不要):當(dāng)數(shù)據(jù)發(fā)送完畢后,再發(fā)送1位校驗位,以此來校驗數(shù)據(jù)傳送的正確性。

(偶校驗:數(shù)據(jù)位加上這一位后,使得“1”的位數(shù)應(yīng)為偶數(shù)

奇校驗:數(shù)據(jù)位加上這一位后,使得“1”的位數(shù)應(yīng)為奇數(shù))

停止位:在最后發(fā)送一位高電平的停止位。

UART每一位的位寬時長由波特率決定,波特率既1s發(fā)送的數(shù)據(jù)位數(shù)。一般選擇的波特率有,9600,19200,115200等。

設(shè)計實例概述

本設(shè)計代碼鏈接:https://pan.baidu.com/s/1VJoSPJRSHYMhmx3rs2t4IA

提取碼:jsak

復(fù)制這段內(nèi)容后打開百度網(wǎng)盤手機App,操作更方便哦

本實例設(shè)計的UART特性:

(1) 并沒有支持奇偶檢驗,故沒有奇偶校驗位

(2) 并不支持數(shù)據(jù)位寬可配,數(shù)據(jù)位位寬固定為8bit。

(3) 支持輸入時鐘與波特率可配。

原理圖如下,分兩個大模塊,一個數(shù)據(jù)接收控制模塊(Receive_Control),一個數(shù)據(jù)發(fā)送控制模塊(Send_Control):

端口說明:

接收控制模塊與發(fā)送控制模塊內(nèi)部都有一個波特率時鐘產(chǎn)生模塊(BuadRate_set),用于將電路輸入時鐘(clk)進行分頻產(chǎn)生波特率時鐘,用于接收和發(fā)送數(shù)據(jù)控制。

可以看到,BuadRate_set模塊有一個enable控制信號,只有當(dāng)enable信號為高時,BuadRate_set模塊才工作。在發(fā)送控制模塊里面,只有發(fā)送數(shù)據(jù)的時候才拉高其相應(yīng)的enable;在接收控制模塊里面,只有檢測到有數(shù)據(jù)發(fā)送進來的時候才拉高其相應(yīng)的enable。這是為了降低功耗。

后文預(yù)告

后文將會具體介紹內(nèi)部各個模塊的設(shè)計細節(jié)以及收發(fā)速度匹配和仿真驗證等問題。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 控制模塊
    +關(guān)注

    關(guān)注

    2

    文章

    127

    瀏覽量

    18737
  • uart
    +關(guān)注

    關(guān)注

    22

    文章

    1199

    瀏覽量

    100829
  • 異步串口
    +關(guān)注

    關(guān)注

    0

    文章

    11

    瀏覽量

    9191

原文標(biāo)題:UART(串口)收發(fā)模塊設(shè)計- 01

文章出處:【微信號:LF-FPGA,微信公眾號:小魚FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    協(xié)議棧介紹

    協(xié)議棧介紹本協(xié)議棧開發(fā)人:楊文斌,聯(lián)系方式:qq:2929422782.參數(shù)說明1)本協(xié)議棧完全由C代碼編寫,可以移植去各種單片機平臺上實現(xiàn)協(xié)議
    發(fā)表于 09-03 15:02

    協(xié)議棧介紹--TCP/IP

    協(xié)議棧介紹本協(xié)議棧開發(fā)人:楊文斌,聯(lián)系方式:qq:2929422782.參數(shù)說明1)本協(xié)議棧完全由C代碼編寫,可以移植去各種單片機平臺上實現(xiàn)協(xié)議
    發(fā)表于 09-03 15:03

    協(xié)議棧介紹

    :2929422782.參數(shù)說明1)本協(xié)議棧完全由C代碼編寫,可以移植去各種單片機平臺上實現(xiàn)協(xié)議棧的使用。2)本協(xié)議棧使用標(biāo)準(zhǔn)SOCKET API接口,在使用上與一般的
    發(fā)表于 09-14 08:44

    用verilog實現(xiàn)UART協(xié)議理解何為接口以及如何進行模塊化設(shè)計

    ,它就有意義了,而且它的意義已經(jīng)不再是實現(xiàn)一個功能了。我們要好好利用這個簡單的協(xié)議去理解“協(xié)議”這個概念以及如何進行模塊化設(shè)計,這對FPGA
    發(fā)表于 03-11 21:53

    FPGA片內(nèi)FIFO的功能概述模塊劃分

    的讀寫時序。 2 模塊劃分實例工程模塊層次如圖所示?!馪ll_controller.v模塊產(chǎn)生FPGA內(nèi)部所需時鐘信號?!駀ifo_tes
    發(fā)表于 04-08 09:34

    請問我怎樣設(shè)置實現(xiàn)串口UART通信?

    你好!我想在3.0版本協(xié)議棧ZNP工程下實現(xiàn)串口UART 通信,ZNP默認情況下是spi通信,請問我怎樣設(shè)置實現(xiàn)串口UART通信?需要修改/
    發(fā)表于 08-10 10:57

    模塊的基本協(xié)議和參數(shù)

    使用不同品牌交換機和光模塊的互連原因光模塊的基本協(xié)議模塊的參數(shù)
    發(fā)表于 01-26 06:14

    UART串口通訊協(xié)議是什么

    UART串口通訊協(xié)議解析概述接口通信協(xié)議概述通用異步收發(fā)傳輸器(Universal Asynchronous Receiver/Transm
    發(fā)表于 07-29 08:07

    了解一下DMX512的基本協(xié)議

    首先基本了解一下DMX512的基本協(xié)議一、DMX512協(xié)議DMX 是Digital MultipleX 的縮寫,意為多路數(shù)字傳輸。DMX512控制協(xié)議是美國舞臺燈光協(xié)會(usITT)于1990年發(fā)布
    發(fā)表于 11-30 06:03

    DMX512的基本協(xié)議相關(guān)資料分享

    首先基本了解一下DMX512的基本協(xié)議一、DMX512協(xié)議DMX 是Digital MultipleX 的縮寫,意為多路數(shù)字傳輸。DMX512控制協(xié)議是美國舞臺燈光協(xié)會(usITT)于1990年發(fā)布
    發(fā)表于 11-30 06:20

    SKYLAB:簡單介紹兩款UART接口的WiFi模塊

    串口透明數(shù)據(jù)傳輸模式,并且具有多模安全能力。內(nèi)置TCP/IP協(xié)議棧和IEEE802.11協(xié)議棧,能夠實現(xiàn)用戶串口到無線網(wǎng)絡(luò)之間的轉(zhuǎn)換。 UART接口WiFi
    發(fā)表于 08-13 07:38 ?393次閱讀

    如何設(shè)計和實現(xiàn)基于UART的機器人分布式控制通信協(xié)議概述

    為了實現(xiàn)機器人應(yīng)用系統(tǒng)的協(xié)調(diào)問題,實現(xiàn)多個控制模塊之間的通信,現(xiàn)設(shè)計并實現(xiàn)了一種基于UART的機器人分布式控制通信
    發(fā)表于 12-19 16:46 ?7次下載
    如何設(shè)計和<b class='flag-5'>實現(xiàn)</b>基于<b class='flag-5'>UART</b>的機器人分布式控制通信<b class='flag-5'>協(xié)議</b><b class='flag-5'>概述</b>

    使用FPGA和模塊化設(shè)計方法實現(xiàn)UART的設(shè)計論文

    實現(xiàn)方法,具體描述了發(fā)送、接收等模塊的設(shè)計,恰當(dāng)使用了有限狀態(tài)機,實現(xiàn)了FPGA上的UART的設(shè)計,給出仿真結(jié)果。
    發(fā)表于 07-07 17:28 ?10次下載
    使用FPGA和<b class='flag-5'>模塊</b>化設(shè)計方法<b class='flag-5'>實現(xiàn)</b><b class='flag-5'>UART</b>的設(shè)計論文

    基于FPGA的UART模塊設(shè)計與實現(xiàn)簡介

    基于FPGA的UART模塊設(shè)計與實現(xiàn)介紹說明。
    發(fā)表于 06-01 09:43 ?20次下載

    振弦采集模塊UART 通訊協(xié)議

    振弦采集模塊UART 通訊協(xié)議 UART 接口支持標(biāo)準(zhǔn)的工業(yè) MODBUS 通訊協(xié)議( 03、 04、 06、 16 指令碼)和自定義的簡單
    發(fā)表于 11-15 09:40 ?290次閱讀
    振弦采集<b class='flag-5'>模塊</b><b class='flag-5'>UART</b> 通訊<b class='flag-5'>協(xié)議</b>