0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全球封測(cè)十大巨頭榜單!

電子工程師 ? 來源: 聶磊 ? 作者:電子發(fā)燒友 ? 2019-03-02 10:18 ? 次閱讀

2018的全球封測(cè)領(lǐng)域擴(kuò)產(chǎn)不停,前十大封測(cè)廠積極拉充產(chǎn)能,代工商臺(tái)積電也積極擴(kuò)充高端封裝產(chǎn)能。

本期盤點(diǎn)文章,芯思想研究院將從營(yíng)收、技術(shù)、建設(shè)(擴(kuò)產(chǎn))、收購等方面對(duì)全球封測(cè)業(yè)進(jìn)行回顧。

1營(yíng)收篇

2019年2月,芯思想研究院繼推出2017年全球前十大封測(cè)公司排名后,再次推出2018年排名前十大公司名稱和去年沒有變化。

2018年產(chǎn)業(yè)集中度進(jìn)一步加劇

2018年全球封測(cè)總營(yíng)收達(dá)281億美元,較2017年增長(zhǎng)4.3%,前十大封測(cè)公司的收入占OSAT營(yíng)收的80.9%,較2017年增加了1.2個(gè)百分點(diǎn)。值得慶賀的是,2018年前十大公司都取得了不同程度的增長(zhǎng)。

芯思想研究院在2018年12月發(fā)布預(yù)估排名就表示,2018年前十大封測(cè)公司與2017年相比唯一的變化是,通富微電超越華天科技,由去年的第七上升至全球第六,華天科技則從第六位下滑一位成為第七。其他公司的排名沒有變化。

根據(jù)總部所在地劃分,前十大封測(cè)公司中,中國(guó)***有五家(日月光ASE、矽品精密SPIL、力成科技PTI、京元電子JYEC、頎邦Chipbond),市占率為42.1%,較去年增長(zhǎng)1個(gè)百分點(diǎn);中國(guó)大陸有三家(長(zhǎng)電科技JCET、通富微電TF、華天科技HUATIAN),市占率為20.7%,較去年增長(zhǎng)0.3個(gè)百分點(diǎn);美國(guó)一家(安靠Amkor),市占率為15.4%,較去年增長(zhǎng)0.1個(gè)百分點(diǎn)新加坡一家(聯(lián)合科技UTAC),市占率為2.2%,和去年持平。

安靠(Amkor)依托中國(guó)上海工廠的快速增長(zhǎng),2018年上海工廠營(yíng)收整體增幅達(dá)到30%,突破50億元關(guān)口,助力安靠全球整體錄得3.1%的增長(zhǎng)。

長(zhǎng)電科技受惠于SiP(系統(tǒng)級(jí)封裝)、eWLB(嵌入式晶圓級(jí)閘球陣列封裝)、TSV(硅穿孔封裝)、3D封裝技術(shù)等皆具備世界級(jí)實(shí)力的先進(jìn)封裝技術(shù),取得2.3%的增長(zhǎng)。

通富微電與AMD配套的7nm封測(cè)產(chǎn)品已經(jīng)具備量產(chǎn)實(shí)力,在高端市場(chǎng)有較大幅度地增長(zhǎng);蘇通工廠則持續(xù)聚焦于高端產(chǎn)品,預(yù)計(jì)整體產(chǎn)能利用率會(huì)逐漸提升;合肥工廠的產(chǎn)能利用率逐步提升,也為公司的營(yíng)收增長(zhǎng)提供了支持。2018年通富微電取得11.5%的增長(zhǎng)率,在前十大封測(cè)公司中,增速排名第二。

受到指紋識(shí)別TSV封裝和比特幣礦機(jī)芯片封裝訂單下滑,CIS封裝價(jià)格下滑,導(dǎo)致華天科技2018年僅僅有2%的增長(zhǎng),這是華天科技自2007年上市以來錄得的最低的增速,2008年和2009年經(jīng)濟(jì)危機(jī)時(shí),其增幅也有8.9%4.7%。從2007年上市至今,公司年均增長(zhǎng)率達(dá)20%。2018年華天科技營(yíng)收逐季下滑,第四季較第一季下滑20%。

聯(lián)合科技(UTAC)于2018年初正式關(guān)閉上海工廠,產(chǎn)能轉(zhuǎn)移到泰國(guó)廠區(qū),整合泰國(guó)工廠QFN產(chǎn)能,進(jìn)一步降低了運(yùn)營(yíng)成本。不過在20184月傳出出售消息,擬10億美元出售。

京元電子(KYEC)受惠于面板驅(qū)動(dòng)IC的成長(zhǎng),取得8.6%的增長(zhǎng)率。

頎邦科技(Chipbond)受惠于子公司頎中科技,加大與面板大廠京東方的合作,公司COF產(chǎn)能爆滿。

營(yíng)收增幅現(xiàn)疲態(tài)

值得慶賀的是,2018年前十大公司都取得了不同程度的增長(zhǎng)。

2018年前十大公司合計(jì)營(yíng)收較2017年成長(zhǎng)4.3%,相較2017年的成長(zhǎng)率10%來說稍顯疲態(tài)。

2018年前十大封測(cè)公司中,營(yíng)收增幅最大的是力成科技的17.3%,增幅第二是通富微電的11.5%,增幅排名第三的是京元電子的8.6%

2017年的增幅前三確實(shí)驚人,通富微電、華天科技、長(zhǎng)電科技分別以42%、28.04%、24.54%位居營(yíng)收增幅前三位,而增幅排名第四位的力成科技也高達(dá)23.35%。

利潤(rùn)率情況

根據(jù)芯思想研究院統(tǒng)計(jì),凈利潤(rùn)率排名前三名都來自中國(guó)***,分別頎邦的20.6%、日月光投控(日月光+矽品)的11.4%、力成11.2%。

雖然聯(lián)合科技(UTAC)的全年凈利率超過25%,是由于其第一季凈利潤(rùn)高達(dá)117%,但扣除其第一季度的營(yíng)業(yè)外收入2.47億美元后,其第一季度的凈利潤(rùn)是負(fù)數(shù)。其全年的凈利潤(rùn)也是負(fù)數(shù)。所以其年凈利潤(rùn)率不具備可比性。

而中國(guó)大陸凈利潤(rùn)率最高的還是華天科技,約在5%左右,是自公司上市以來最低的一次。

2技術(shù)篇

隨著集成電路應(yīng)用多元化,智能手機(jī)、物聯(lián)網(wǎng)、汽車電子、高性能計(jì)算、5G人工智能等新興領(lǐng)域?qū)ο冗M(jìn)封裝提出更高要求,封裝技術(shù)發(fā)展迅速,創(chuàng)新特別活躍,競(jìng)爭(zhēng)特別激烈。先進(jìn)封裝向著系統(tǒng)集成、高速、高頻、三維方向發(fā)展。當(dāng)前,高密度TSV技術(shù)/Fan-Out扇出技術(shù)由于其靈活、高密度、適于系統(tǒng)集成,而成為新時(shí)代先進(jìn)封裝的核心技術(shù)。

作為封測(cè)代工企業(yè)(OSAT),面臨前道企業(yè)在先進(jìn)封裝技術(shù)領(lǐng)域的競(jìng)爭(zhēng),必須尋求對(duì)應(yīng)低成本高性能封裝技術(shù),展開差異化競(jìng)爭(zhēng),才能在激烈的競(jìng)爭(zhēng)中不斷發(fā)展。

我國(guó)封測(cè)公司都在加緊研發(fā),并取得了相當(dāng)成績(jī)。

一、華進(jìn)半導(dǎo)體

華進(jìn)半導(dǎo)體成立SiP技術(shù)融合實(shí)驗(yàn)室,研發(fā)包括異質(zhì)混合集成、芯片埋入技術(shù)等。

1、基于III-V芯片的無源/有源多層混合埋入SiP先導(dǎo)技術(shù)研究

本項(xiàng)目實(shí)現(xiàn)100um Ⅲ-Ⅴ族GaAs超薄芯片埋入,所有埋入芯片完好無裂片;在不同Z軸高度埋入10個(gè)0201被動(dòng)元件與2個(gè)PA/LNA放大器芯片,形成單一封裝體內(nèi)多層3D系統(tǒng)級(jí)埋入的全套工藝能力;三維系統(tǒng)級(jí)埋入因沒有焊料和引線鍵合,通過器件表面直接接觸薄介質(zhì)層與金屬層實(shí)現(xiàn)低熱阻的雙面散熱;封裝體表貼開關(guān)芯片、數(shù)控衰減芯片即可以實(shí)現(xiàn)極低Footprint的完整T/R模塊。

2、微波背金裸芯片混合三維微組裝工藝技術(shù)研究

本項(xiàng)目開發(fā)異形墊片,實(shí)現(xiàn)基于III-V族射頻收發(fā)模塊的三維堆疊;異形墊片可避免破壞芯片表面的空氣橋,同時(shí)不影響芯片表面微帶線的特征阻抗;異形墊片表面與頂層芯片背金鍵合,通過金線為頂層芯片提供良好接地;樣品通過TC、HAST、振動(dòng)、加速度等航天可靠性測(cè)試。


3、全硅集成微系統(tǒng)用硅轉(zhuǎn)接板全套工藝研發(fā)完成,并開始小批量生產(chǎn)

本項(xiàng)目通過TSV轉(zhuǎn)接板實(shí)現(xiàn)多顆不同結(jié)構(gòu)或不同功能的芯片系統(tǒng)集成。TSV直徑小,間距很密,可以實(shí)現(xiàn)高密度芯片封裝。芯片與芯片之間的互連通過平面內(nèi)的線路來實(shí)現(xiàn),可以重新分布電源、接地和信號(hào)引腳,這些電學(xué)信號(hào)可以通過TSV,在底部進(jìn)行信號(hào)輸入和輸出,從而明顯降低輸入輸出引腳數(shù)量。同時(shí),轉(zhuǎn)接板還可以進(jìn)行散熱設(shè)計(jì),來進(jìn)行熱管理。

能芯片無需改變現(xiàn)有的結(jié)構(gòu)和設(shè)計(jì),與不同芯片組合搭配,具有很高的靈活度和集成度,適合對(duì)尺寸有嚴(yán)苛要求的高頻高速的電子產(chǎn)品。同時(shí),所有的芯片和互連線被密封,只有幾個(gè)端口裸露在外,整個(gè)系統(tǒng)有更好的密封性和可靠性

本項(xiàng)目可以用于射頻前端等場(chǎng)合,在提高電子系統(tǒng)性能同時(shí)大幅縮減系統(tǒng)體積、重量??赏ㄟ^多層硅轉(zhuǎn)接板的堆疊實(shí)現(xiàn)更復(fù)雜的系統(tǒng)集成

4、五面塑封保護(hù)晶圓級(jí)芯片尺寸封裝技術(shù)

電子產(chǎn)品的進(jìn)化依賴于半導(dǎo)體技術(shù)的進(jìn)步,在更緊湊的面積內(nèi)集成更多功能的器件,IC器件的封裝在電子產(chǎn)品系統(tǒng)中扮演著越來越重要的角色。在移動(dòng)終端中(如手機(jī)、平板電腦等)中廣泛使用的晶圓級(jí)芯片尺寸封裝(WLCSP),節(jié)約了移動(dòng)終端的寶貴空間,但是WLCSP芯片裸露在外的本質(zhì),很容易在后道組裝過程中被損壞。

為避免WLCSP裸片本身的損壞,開發(fā)五面塑封保護(hù)的WLCSP封裝技術(shù),是解決目前WLCSP封裝產(chǎn)品缺陷,改善WLCSP Chipping異常,提高芯片良率和可靠性的創(chuàng)新思路。

五面塑封保護(hù)WLCSP整體封裝厚度≦0.5mm,側(cè)壁塑封體厚度≧10um。滿足封裝可靠性測(cè)試標(biāo)準(zhǔn):濕氣敏感性等級(jí)MSL4、溫度循環(huán)500次、高溫存儲(chǔ)500小時(shí)等。

推廣五面塑封保護(hù)WLCSP封裝,有效解決芯片Chipping和Crack異常,拓展WLCSP封裝的應(yīng)用場(chǎng)景。

二、長(zhǎng)電科技

2018 年長(zhǎng)電科技在市場(chǎng)與技術(shù)的發(fā)展以及系統(tǒng)產(chǎn)品在不同功能要求的情況下,研發(fā)了數(shù)個(gè)封裝的新技術(shù),尤其應(yīng)用在未來即將迅猛發(fā)展的5G通訊以及5G下的人工智能(AI)以及物聯(lián)網(wǎng) (IoT),期望能夠全面跟上局部超越。

1、一種雙金屬板封裝結(jié)構(gòu)的制作方法

雙金屬板封裝結(jié)構(gòu)的制作方法的新技術(shù)可形成信號(hào)電磁屏蔽、高效功率散熱以及高密度線路的扇出等封裝技術(shù)。而這些效能可以是依據(jù)芯片所需功能單獨(dú)體現(xiàn)也可以融合這些技術(shù)綜合表現(xiàn),尤其是應(yīng)用在 5G 通訊以及物聯(lián)網(wǎng)(IoT)所需要的信號(hào)屏蔽、基地臺(tái)功率放大要求所產(chǎn)生的功率散熱以及訊號(hào)高速傳輸所需要的快速反應(yīng)與數(shù)據(jù)計(jì)算等,都是非常好且高效的封裝技術(shù)。

(圖片提供:長(zhǎng)電科技)

由上圖結(jié)構(gòu)圖我們可以清楚的看出各項(xiàng)功能所需要搭配的封裝結(jié)構(gòu),而其封裝技術(shù)中亦包含了芯片正裝技術(shù)、芯片倒裝技術(shù)、線路印刷技術(shù)、表面貼裝技術(shù)、金屬絲正打以及倒打技術(shù)、功率所需要散熱裝置技術(shù)等等,在在都能充分的體現(xiàn)出芯片因系統(tǒng)產(chǎn)品所需要的屏蔽、散熱、高密度線路扇岀以及各項(xiàng)的混合功能的封裝結(jié)構(gòu)。

而在雙金屬基板載體的善出封裝技術(shù)結(jié)構(gòu)中,我們由上圖可以明顯的看出其中可以融合 PiP 混合封裝技術(shù)、PiP 芯片各種方式堆棧封裝技術(shù)、PoP 塑封體與塑封體的堆棧封裝等等的技術(shù),在這雙金屬板載體中充分的發(fā)揮出各種封裝技術(shù)與結(jié)構(gòu)的靈活性以及芯片所需要在系統(tǒng)產(chǎn)品上各項(xiàng)功能的追求。

2、一種選擇性局部塑封技術(shù)

主要是應(yīng)用在各類傳感以及MEMS的封裝技術(shù)上。而這種選擇性局部塑封技術(shù),可根據(jù)需要自行調(diào)節(jié)選擇性地包封封裝結(jié)構(gòu)的任一部分,操作簡(jiǎn)單相對(duì)也簡(jiǎn)化很多繁雜工藝步驟與流程。

在這種”選擇性局部塑封技術(shù)”的研發(fā)中尚可以輕松體現(xiàn)出電磁屏蔽的功能,以及輕松的體現(xiàn)出”選擇性的電磁屏蔽”功能。

封裝結(jié)構(gòu)通常包括:基板、基板上方的元器件、用于封裝元器件的塑封料、需要包封的元器件以及無需包封的元器件,而通常情況下元器件為功能芯片和無源器件,功能芯片為有源電子元件,需要能量的來源而實(shí)現(xiàn)它特定的功能,一般用來信號(hào)的放大、轉(zhuǎn)換等。

無源器件是在不需要外加電源的條件下,就可以顯示其特性的電子元件,主要是電阻類、電感類和電容類器件,它們的共同特點(diǎn)是在電路中無需加電源即可在有信號(hào)時(shí)工作,例如:電阻,電容,電感,轉(zhuǎn)換器,漸變器,匹配網(wǎng)絡(luò),諧振器,濾波器,混頻器和開關(guān)等,而采用了”選擇性局部塑封”的封裝技術(shù)將可輕松且靈活的表現(xiàn)出優(yōu)越的性能。

3、晶圓凸點(diǎn)及重布線晶圓級(jí)封裝技術(shù)

集成電路產(chǎn)業(yè)技術(shù)創(chuàng)新戰(zhàn)略聯(lián)盟創(chuàng)新獎(jiǎng)

2018年3月,長(zhǎng)電科技子公司江陰長(zhǎng)電先進(jìn)封裝有限公司憑借“晶圓凸點(diǎn)及重布線晶圓級(jí)封裝技術(shù)”獲得“成果產(chǎn)業(yè)化獎(jiǎng)”。長(zhǎng)電先進(jìn)研團(tuán)隊(duì)通過在圓片級(jí)多層重布線封裝技術(shù)、嵌入式無源器件(IPD)封裝技術(shù)、高密度銅柱凸塊技術(shù)等方面的技術(shù)創(chuàng)新與突破, 開發(fā)了TI、博通、聯(lián)發(fā)科海思、IntelMPSOnsemi等國(guó)際一流客戶,成功將項(xiàng)目技術(shù)應(yīng)用于IBM三星、小米、OPPO、ViVO等國(guó)際知名企業(yè)的終端產(chǎn)品。創(chuàng)造了項(xiàng)目產(chǎn)品出貨量位居中國(guó)大陸第一,全球前列的佳績(jī)。

三、華天科技

華天科技(昆山)電子有限公司專注于晶圓級(jí)封裝技術(shù)研發(fā)與產(chǎn)業(yè)化,自2014年以來,通過創(chuàng)新發(fā)展,加大投入,開發(fā)了Bumping、TSVWLCSP、WLP-FO等平臺(tái)技術(shù),并實(shí)現(xiàn)了規(guī)?;慨a(chǎn)。2018年,華天昆山在晶圓級(jí)封裝領(lǐng)域大踏步前進(jìn),取得多個(gè)技術(shù)和產(chǎn)品突破。

應(yīng)用于圖像傳感器的CIS方面,率先完成了12BSI產(chǎn)品的驗(yàn)證,率先通過了12吋車載CIS產(chǎn)品驗(yàn)證,解決了一系列技術(shù)難題。

12吋車載封裝產(chǎn)品

開發(fā)了3P3M的WLP技術(shù),產(chǎn)品實(shí)現(xiàn)量產(chǎn)。

3P3M WLP產(chǎn)品

應(yīng)用于ESD芯片的晶圓級(jí)六面保護(hù)技術(shù)通過了包括三星、APPLE在內(nèi)眾多終端產(chǎn)品工藝稽核。

新型扇出型封裝技術(shù)在多個(gè)產(chǎn)品的成功應(yīng)用,無疑是2018年最鼓舞人心的成績(jī)。

集成電路產(chǎn)業(yè)技術(shù)創(chuàng)新戰(zhàn)略聯(lián)盟創(chuàng)新獎(jiǎng)

2018年華天昆山開發(fā)具有自主知識(shí)產(chǎn)權(quán)的埋入硅基板扇出型封裝技術(shù)eSiFO?embedded Silicon Fan-out進(jìn)入量產(chǎn)。該技術(shù)使用硅基板為載體,通過在硅基板上刻蝕凹槽,將芯片正面向上放置且固定于凹槽內(nèi),芯片表面和硅圓片表面構(gòu)成了一個(gè)扇出面,在這個(gè)面上進(jìn)行多層布線,并制作引出端焊球,最后切割,分離、封裝。eSiFO?技術(shù)具有如下優(yōu)點(diǎn):

  1. 可以實(shí)現(xiàn)多芯片系統(tǒng)集成SiP,易于實(shí)現(xiàn)芯片異質(zhì)集成

  2. 滿足超薄和超小芯片封裝要求

  3. 與標(biāo)準(zhǔn)晶圓級(jí)封裝兼容性好,無污染

  4. 良好的散熱性和電性

  5. 可以在有源晶圓上集成

  6. 工藝簡(jiǎn)單,翹曲小,無塑封/臨時(shí)鍵合/拆鍵合

  7. 封裝靈活:WLP/BGA/LGA/QFP

  8. TSV技術(shù)結(jié)合可實(shí)現(xiàn)高密度三維集成

由于技術(shù)的創(chuàng)新型和巨大應(yīng)用潛力,2018年3月獲評(píng)集成電路產(chǎn)業(yè)技術(shù)創(chuàng)新聯(lián)盟創(chuàng)新獎(jiǎng)。該技術(shù)目前有三個(gè)主要應(yīng)用領(lǐng)域,多芯片系統(tǒng)級(jí)封裝,5G毫米波射頻以及三維扇出堆疊。

FPGA SiP集成

在5G射頻領(lǐng)域,其中多芯片堆疊產(chǎn)品包括4G射頻前端,毫米波芯片。2018年11月,華天科技與微遠(yuǎn)芯發(fā)布新聞,成功完成40GHz毫米波eSiFO?封裝技術(shù)開發(fā),性能優(yōu)異。

40GHz毫米波eSiFO?封裝產(chǎn)品

2018年華天昆山進(jìn)一步開發(fā)了基于硅基板的三維扇出技術(shù),值得一體的是埋入硅基板扇出型3D封裝結(jié)構(gòu)已獲得國(guó)家發(fā)明專利授權(quán)(授權(quán)號(hào)CN105575913B)。該技術(shù)的特點(diǎn)是利用TSV作為垂直互聯(lián),互連密度可以大大高于目前的臺(tái)積電InFO技術(shù)。目前工藝已經(jīng)開發(fā)完成,與國(guó)際客戶進(jìn)行的產(chǎn)品開發(fā)進(jìn)展順利。

埋入硅基板扇出型3D封裝結(jié)構(gòu)示意圖

埋入硅基板扇出型3D封裝結(jié)構(gòu)產(chǎn)品圖

華天昆山2018年的技術(shù)和產(chǎn)品突破,完成了技術(shù)轉(zhuǎn)型升級(jí),同時(shí),為后摩爾時(shí)代高性能芯片集成封裝提供了新的解決方案,隨著產(chǎn)品應(yīng)用的不斷豐富,必將推動(dòng)整個(gè)行業(yè)的技術(shù)發(fā)展。

四、晶方半導(dǎo)體

2018年晶方半導(dǎo)充分利用自身擁有知識(shí)產(chǎn)權(quán)的傳感器和高密度封裝技術(shù)優(yōu)勢(shì),上下游產(chǎn)業(yè)鏈合作伙伴資源,通過針對(duì)性解決AI產(chǎn)業(yè)發(fā)展相關(guān)半導(dǎo)體硬件制造難題進(jìn)行相關(guān)先進(jìn)封裝技術(shù)研發(fā)。

基于以上深厚的微型化傳感器制造工藝經(jīng)驗(yàn)、領(lǐng)先的市場(chǎng)優(yōu)勢(shì)與專利布局,完整的大規(guī)模量產(chǎn)制造能力,公司已具備實(shí)現(xiàn)人工智能應(yīng)用所需的關(guān)鍵核心技術(shù)儲(chǔ)備與先發(fā)優(yōu)勢(shì),成為自主擁有相關(guān)核心制造技術(shù)完整開發(fā)能力與產(chǎn)業(yè)整合能力的企業(yè)。

WLCSP晶圓級(jí)先進(jìn)封裝

通過利用先進(jìn)的半導(dǎo)體中道技術(shù)(W2W Bonding,TSV, RDL, Bumping等),實(shí)現(xiàn)在3D表面的重布線,其實(shí)現(xiàn)的FAN-IN結(jié)構(gòu)很好的解決了傳感器芯片封裝正面開窗,并且尺寸小,低功耗和高密度集成化的要求。利用該技術(shù)的不同版本,可以針對(duì)例如CIS, MEMS,ALSLED等不同種類芯片的小型化封裝。


WLO晶圓級(jí)光學(xué)器件

晶圓級(jí)WLO技術(shù)利用了半導(dǎo)體設(shè)備的精度和加工效率,在微鏡頭,微陣列,衍射器件等方面都由應(yīng)用,有效的縮小了光學(xué)系統(tǒng)的尺寸,使得光學(xué)器件產(chǎn)品設(shè)計(jì)可以無縫融入集成電路產(chǎn)品的封裝中,大大降低了模塊的尺寸和成本,在3D深度和AR/VR領(lǐng)域有廣泛的用途。

FAN/OUT封裝

在原有晶圓級(jí)技術(shù)基礎(chǔ)上,公司開發(fā)了針對(duì)大尺寸,多芯片封裝的F/O結(jié)構(gòu)封裝,將原來的FAN-IN RDL層重置到一塊玻璃或者有機(jī)基板上,并通過倒裝工藝,W/B工藝完成系統(tǒng)集成。該封裝的特點(diǎn)是可以實(shí)現(xiàn)高像素芯片,或者多芯片,或者高可靠性車用芯片的封裝,為系統(tǒng)化集成提供了一個(gè)靈活的平臺(tái)。

3D-TSV三維堆疊技術(shù)

目前公司依托核心的晶圓級(jí)TSV封裝工藝,正在與客戶合作開發(fā)拓展三維堆疊技術(shù),形成完備的“CIS芯片+DRAM芯片+ISP芯片”三維堆疊設(shè)計(jì)與制造能力,以此為基礎(chǔ)并購整合人工智能應(yīng)用的軟件和算法,形成完整的“軟件+硬件”有機(jī)協(xié)同的系統(tǒng)集成服務(wù)能力。

五、通富微電

通富微電在2018年持續(xù)加大Bumping+FC為主的高端封測(cè)技術(shù)研發(fā),包括:1、與AMD配套的7nm封測(cè),已順利進(jìn)入量產(chǎn)階段;2、多芯片倒裝技術(shù);3、扇出型封裝、2.5D3D封裝、SiP系統(tǒng)級(jí)封裝等。

一、全新產(chǎn)品和全新技術(shù)的開發(fā)與應(yīng)用成為公司技術(shù)亮點(diǎn),將培育成為公司新的增長(zhǎng)點(diǎn),為經(jīng)營(yíng)業(yè)績(jī)的可持續(xù)發(fā)展奠定了基礎(chǔ)。

Gold bump

Gold bump順利導(dǎo)入和量產(chǎn):完成先進(jìn)封裝生產(chǎn)線建置,國(guó)產(chǎn)設(shè)備超過50%(業(yè)界一般低于10%)。三季度順利通過多家客戶審核驗(yàn)證,四季度量產(chǎn)即產(chǎn)出兩千片,是中國(guó)顯示驅(qū)動(dòng)芯片行業(yè)做強(qiáng)的堅(jiān)實(shí)一步;

Driver IC

2018年Driver IC CP完成產(chǎn)線建設(shè)并通過國(guó)際大客戶驗(yàn)證:完成業(yè)界領(lǐng)先的8寸和12寸產(chǎn)品測(cè)試能力建設(shè),全球五大Driver IC廠商中已有兩家驗(yàn)證通過;

COF封裝產(chǎn)線試產(chǎn)成功:最嚴(yán)苛產(chǎn)品規(guī)格(芯片高長(zhǎng)寬比等)試產(chǎn)成功,進(jìn)入高端顯示驅(qū)動(dòng)芯片封裝領(lǐng)域。

SiP

物聯(lián)網(wǎng)方案:NB-IoT面向物聯(lián)網(wǎng)行業(yè)領(lǐng)先SiP解決方案,2018年開發(fā)完成并成功招標(biāo)進(jìn)入中國(guó)電信等運(yùn)營(yíng)商模組解決方案。

二、傳統(tǒng)產(chǎn)品持續(xù)開發(fā)業(yè)界領(lǐng)先的解決方案和技術(shù),進(jìn)一步提升我司產(chǎn)品線的競(jìng)爭(zhēng)優(yōu)勢(shì)。

QFN

Large Size Saw Type Dual Row QFN:業(yè)界主流高性能能低成本技術(shù),業(yè)界首批完成自動(dòng)化產(chǎn)線建立并具備大規(guī)模量產(chǎn)能力的封測(cè)企業(yè)。

車載側(cè)錫(Wettbale Flank)進(jìn)入考核:完成樣品進(jìn)入考核制作,成為大陸首家掌握此車載封裝技術(shù)的企業(yè),夯實(shí)國(guó)產(chǎn)車載汽車芯片發(fā)展的根基。

POWER

大功率IPM-DBCOSAT率先掌握單一40顆芯片產(chǎn)品技術(shù),成功通過業(yè)界TOP客戶驗(yàn)證

uTOLL/LFPAK:持續(xù)啟動(dòng)Power前沿產(chǎn)品,進(jìn)一步穩(wěn)固了通富業(yè)界Power封測(cè)領(lǐng)先地位。

FC

Exposed Die (露芯片) CUFMUF解決方案:3個(gè)月內(nèi)建立能力并快速建成最大規(guī)模能力,并零質(zhì)量事故。

成功開發(fā)FCCSP背面金屬化工藝:全球首批家可提供高散熱性能FCCSP解決方案企業(yè)。

BGA

u5G射頻和觸控用薄FBGA:全球首批提供5G大功率射頻產(chǎn)品的封測(cè)企業(yè),以及大陸首批高端旗艦手機(jī)觸控FBGA產(chǎn)品。

3建設(shè)篇

1、華進(jìn)半導(dǎo)體晶圓級(jí)扇出型封裝產(chǎn)業(yè)化項(xiàng)目

2018年3月,江蘇中科智芯集成科技有限公司成立,承接華進(jìn)半導(dǎo)體晶圓級(jí)扇出型封裝產(chǎn)業(yè)化項(xiàng)目。

據(jù)悉,主廠房于2018年11月底封頂;其他輔助建筑于2019年1月封頂。凈化裝修工作業(yè)已開始,計(jì)劃2019年5月全部完工,凈化間開始試運(yùn)行。建成后陸續(xù)投產(chǎn)12英寸晶圓級(jí)扇出型封裝,逐步實(shí)現(xiàn)單芯片扇出型封裝、2D多芯片扇出型封裝、3D多芯片扇出型封裝量產(chǎn)。

華進(jìn)半導(dǎo)體表示,中科智芯產(chǎn)品定位中高密度集成芯片扇出型(Fan-Out)封裝與測(cè)試,高頻率射頻芯片封裝的設(shè)計(jì)與制造。

晶圓級(jí)扇出型封裝是最高性價(jià)比的集成電路封裝技術(shù),無須使用印刷電路板,可直接在晶圓上實(shí)現(xiàn)芯片封裝。具體來說,第一,結(jié)合內(nèi)嵌式印刷電路板技術(shù)的系統(tǒng)級(jí)封裝,雖符合移動(dòng)設(shè)備小型化需求,然而供應(yīng)鏈、產(chǎn)品良率(成本)存在很多問題;第二,硅穿孔(TSV )封裝技術(shù)可以實(shí)現(xiàn)產(chǎn)品良率的問題,但設(shè)計(jì)難度較大、制造成本極高。與上述兩種方案不同的晶圓級(jí)扇出封裝(Fan-Out)技術(shù),可在單芯至多芯片的封裝中做到更高的集成度,而具有更好的電氣屬性,不僅降低封裝成本,并且讓系統(tǒng)計(jì)算速度加快,產(chǎn)生的功耗更小,更為重要的是,該技術(shù)能夠提供更好的散熱性能,并可以整合射頻元件,使網(wǎng)絡(luò)基帶性能更加優(yōu)良。

2、中芯長(zhǎng)電二期J2A封頂

2018年9月17日下午,中芯長(zhǎng)電半導(dǎo)體(江陰)有限公司二期項(xiàng)目J2A主廠房榮耀封頂。

二期項(xiàng)目計(jì)劃新建三座大規(guī)模的現(xiàn)代化加工工廠,形成領(lǐng)先的中段硅片制造和先進(jìn)封裝的研發(fā)和制造基地。

J2A是二期項(xiàng)目第一座廠房,于2017年9月15日舉行了奠基儀式。

3、長(zhǎng)電科技通信用高密度集成電路及模塊封裝項(xiàng)目

長(zhǎng)電科技通信用高密度集成電路及模塊封裝項(xiàng)目擬投資17.3492億元,建成后將形成FBGA、PBGA、SiP模組、P-SiP模組、通訊模塊-LGA、高腳位通訊模塊、倒裝通訊模塊等通信用高密度集成電路及模塊封裝產(chǎn)品年產(chǎn)20億塊的生產(chǎn)能力。項(xiàng)目建設(shè)期3年。

4、長(zhǎng)電科技通訊與物聯(lián)網(wǎng)集成電路中道封裝技術(shù)產(chǎn)業(yè)化項(xiàng)目

長(zhǎng)電先進(jìn)主導(dǎo)的通訊與物聯(lián)網(wǎng)集成電路中道封裝技術(shù)產(chǎn)業(yè)化項(xiàng)目擬投資23.5億元,建成后將形成Bumping、WLCSP等通訊與物聯(lián)網(wǎng)集成電路中道封裝年產(chǎn)82萬片Bumping、47億顆芯片封裝的生產(chǎn)能力。項(xiàng)目建設(shè)期3年。

目前長(zhǎng)電先進(jìn)中道封裝從技術(shù)到產(chǎn)能已具有較強(qiáng)的國(guó)際競(jìng)爭(zhēng)能力,市場(chǎng)客戶端需求旺盛,產(chǎn)能利用率高。通訊與物聯(lián)網(wǎng)集成電路中道封裝技術(shù)產(chǎn)業(yè)化項(xiàng)目系長(zhǎng)電先進(jìn)對(duì)現(xiàn)有技術(shù)、產(chǎn)能的擴(kuò)充,項(xiàng)目建成并完成達(dá)產(chǎn)后,將進(jìn)一步增加長(zhǎng)電先進(jìn)中道封裝產(chǎn)能,增強(qiáng)國(guó)際競(jìng)爭(zhēng)力。

5、長(zhǎng)電科技宿遷廠擴(kuò)建

2018年523日,長(zhǎng)電科技集成電路封測(cè)基地項(xiàng)目正式開工。宿遷廠以腳數(shù)較低的IC和功率器件為主,低成本是其競(jìng)爭(zhēng)優(yōu)勢(shì)。

6、通富廈門一期工程主廠房封頂

2018年1214日,廈門通富微電子有限公司一期工程主廠房成功封頂。

2018年8月,廈門通富微電子有限公司一期工程主廠房完成樁基工程。

207年821日,廈門通富微電子有限公司一期工程奠基。目一期投資13.8億元,一期用地約100畝,規(guī)劃建設(shè)2萬片BumpingCP以及2萬片WLCSP、SiP中試線。

207年817日,通富微電發(fā)布公告,稱計(jì)劃與廈門半導(dǎo)體投資集團(tuán)有限公司共同出資在廈門市海滄區(qū)投資項(xiàng)目公司,成立廈門通富微電子有限公司,注冊(cè)資本為7億元人民幣。從公告內(nèi)容知道,通富微電雖然僅出資7000萬元人民幣,在合資公司中占股為10%,但在3個(gè)董事會(huì)席位中占有2席。

2017年626日,廈門市海滄區(qū)人民政府與通富微電簽署了共建集成電路先進(jìn)封測(cè)生產(chǎn)線的戰(zhàn)略合作協(xié)議。按協(xié)議約定,項(xiàng)目總投資70億元,規(guī)劃建設(shè)以Bumping、WLCSPCP、FC、SiP及三、五族化合物為主的先進(jìn)封裝測(cè)試產(chǎn)業(yè)化基地,重點(diǎn)服務(wù)于“福、廈、漳、泉”及華南地區(qū)的區(qū)域市場(chǎng)和重點(diǎn)企業(yè),項(xiàng)目分三期實(shí)施。

7、南通通富二期工程

2017年1118日,南通通富微電子有限公司舉行二期工程奠基暨開工典禮。

經(jīng)過2018年全年的建設(shè),2019130日上午,南通通富微電子有限公司二期工程成功封頂。

8、合肥通富增設(shè)驅(qū)動(dòng)芯片封裝和存儲(chǔ)封測(cè)項(xiàng)目

2018年416日,在“國(guó)家集成電路重大專項(xiàng)走進(jìn)安徽活動(dòng)”中,通富微電表示,將在合肥設(shè)驅(qū)動(dòng)芯片封裝和存儲(chǔ)封測(cè)項(xiàng)目。

驅(qū)動(dòng)芯片封裝項(xiàng)目將建成一條世界先進(jìn)的包含10多種12英寸國(guó)產(chǎn)裝備的液晶驅(qū)動(dòng)芯片封裝測(cè)試生產(chǎn)線。該項(xiàng)目的研發(fā)整整已經(jīng)在通富總部完成,將很快在合肥通富進(jìn)行量產(chǎn)線建設(shè)。

通富微電的存儲(chǔ)封測(cè)已經(jīng)具備4層堆疊量產(chǎn)能力,正在和合肥睿力合作開展應(yīng)用于高端DRAM產(chǎn)品的WBGAFCBGA封裝測(cè)試,組裝測(cè)試團(tuán)隊(duì)已經(jīng)全部到位。

9、華天科技設(shè)立南京基地

2018年77日,華天科技發(fā)布公告,董事會(huì)同意投資南京集成電路先進(jìn)封測(cè)產(chǎn)業(yè)基地項(xiàng)目,并與南京浦口經(jīng)濟(jì)開發(fā)區(qū)管理委員會(huì)簽訂南京集成電路先進(jìn)封測(cè)產(chǎn)業(yè)基地項(xiàng)目《投資協(xié)議》。項(xiàng)目分三期建設(shè)完成,全部項(xiàng)目計(jì)劃不晚于20281231日建成運(yùn)營(yíng)。項(xiàng)目投資總額80億元。

最新消息:2019年124日上午,華天科技(南京)有限公司集成電路先進(jìn)封測(cè)產(chǎn)業(yè)基地項(xiàng)目開工儀式在浦口區(qū)舉行。

10、華天高可靠性車用晶圓級(jí)先進(jìn)封裝生產(chǎn)線項(xiàng)目落戶昆山

2018年117日,華天科技控股子公司華天科技(昆山)電子有限公司高可靠性車用晶圓級(jí)先進(jìn)封裝生產(chǎn)線項(xiàng)目簽約儀式在昆山開發(fā)區(qū)成功舉行,至此華天科技在昆山布局了三條技術(shù)領(lǐng)先的高端封測(cè)量產(chǎn)產(chǎn)線。

11、日月光K24廠投產(chǎn),K25開工建設(shè)

2018年6月,日月光高雄K24廠投產(chǎn)。K24廠于2016106日開工,地下二層、地上八層的建筑,廠房以芯片的圖騰進(jìn)行設(shè)計(jì)。

K25于201843日動(dòng)工至今,一切進(jìn)展順利。K25廠總投資金額達(dá)新臺(tái)幣125億元,預(yù)計(jì)2020年完工。

日月光表示,K25廠房是日月光推動(dòng)的56廠投資計(jì)劃之一,將專攻高端的3C、通信、車用、消費(fèi)性電子、以及繪圖芯片等應(yīng)用領(lǐng)域。

目前楠梓加工區(qū)第二園區(qū)K21廠、K22廠、K23廠已完工進(jìn)駐;K24廠已經(jīng)投產(chǎn),到2019年第一季全部完工;K26廠也于20171月份購入大樓。

12、日月光新加坡廠擴(kuò)產(chǎn)WLCSP產(chǎn)能

2018年,日月光新加坡廠WLCSP的月產(chǎn)能達(dá)1億顆規(guī)模,較2017年7000萬顆的產(chǎn)能擴(kuò)產(chǎn)幅度達(dá)5成,強(qiáng)化日月光在通訊芯片WLCSP封測(cè)領(lǐng)域的競(jìng)爭(zhēng)力。

日月光新加坡廠前身是ISELabs Singapore,成立于1998年,日月光在1999年并購該廠后,2003年正式更名為日月光新加坡廠。而日月光2010年收購EEMS Singapore公司后,再強(qiáng)化半導(dǎo)體測(cè)試業(yè)務(wù)。并于2014年建設(shè)了WLCSP封裝產(chǎn)能。

13、日月光馬來西亞基地?cái)U(kuò)產(chǎn)

日月光馬來西亞基地積極搶進(jìn)汽車電子市場(chǎng),于2018年再擴(kuò)充一個(gè)新廠區(qū)。

馬來西亞基地是日月光第一個(gè)在海外設(shè)立的封測(cè)廠,目前是汽車電子芯片封測(cè)重鎮(zhèn),營(yíng)收占比達(dá)20~25%,同時(shí)也是電動(dòng)車與資料中心專用大電流銅制彈片(copper clips)制程主要據(jù)點(diǎn)。

14、矽品晉江廠動(dòng)工

2018年4月,矽品電子(福建)有限公司晉江新廠動(dòng)工。投資金額2500萬美元,主攻存儲(chǔ)器和邏輯產(chǎn)品封裝與測(cè)試。

原希望拿下晉華存儲(chǔ)封測(cè)訂單。

15、力成竹科三廠開工

2018年925日力成竹科三廠開工;總投資金額達(dá)新臺(tái)幣500億元,預(yù)計(jì)2020年上半年完工、同年下半年裝機(jī)量產(chǎn),基地面積約8000坪,規(guī)劃興建為地上8層、地下2層廠房。

竹科三廠將成為全球首座面板級(jí)扇出型封裝制程的量產(chǎn)基地,預(yù)期月產(chǎn)能將可達(dá)約5萬片,約與15萬片12英寸晶圓相當(dāng)。

力成表示,面板級(jí)扇出型封裝可降低封裝厚度、增加導(dǎo)線密度、提升產(chǎn)品電性,面板大工作平臺(tái)可提高生產(chǎn)效率,可運(yùn)用于5G、AI、自動(dòng)駕駛、生物技術(shù)及物聯(lián)網(wǎng)等相關(guān)產(chǎn)品。

16、京元電子興建銅鑼三廠、蘇州B

2018年京元電子資本支出達(dá)新臺(tái)幣75億元,以滿足銅鑼、蘇州擴(kuò)建新廠及采購測(cè)試設(shè)備。

2018年423日,京元電子舉行銅鑼三廠動(dòng)土典禮,預(yù)計(jì)2020年首季完工啟用。

京元電子表示,此次興建銅鑼三廠,主要為滿足各大客戶在人工智能、物聯(lián)網(wǎng)、微機(jī)電、移動(dòng)通訊、電競(jìng)和車用電子產(chǎn)品測(cè)試業(yè)務(wù)需求持續(xù)增加,以及因應(yīng)IDM廠測(cè)試委外加速趨勢(shì)。

京元電銅鑼三廠規(guī)劃為地上5層、地下1層的RC結(jié)構(gòu)建筑,單層面積約2,700坪,總樓地板面積約1.63萬坪。由于銅鑼廠區(qū)的生產(chǎn)設(shè)備配置,主要以自行開發(fā)的測(cè)試設(shè)備為主,未來新廠依不同測(cè)試平臺(tái)需求,預(yù)期可增設(shè)8001000臺(tái)測(cè)試設(shè)備。

子公司京隆科技(蘇州)有限公司在蘇州運(yùn)營(yíng)A廠,始建于2002年,目前產(chǎn)能滿載。公司于2018年開始擴(kuò)充產(chǎn)產(chǎn)能,在原先建好的B廠房中安裝設(shè)備調(diào)試,預(yù)計(jì)20193月投產(chǎn)。

另外,2019年蘇州震坤科技有限公司將并入京隆科技(蘇州)有限公司,實(shí)現(xiàn)蘇州廠封裝測(cè)試一體化運(yùn)營(yíng)。

17、安靠***T6廠投產(chǎn)

2018年910日,安靠***T6廠正式投產(chǎn),總投資新臺(tái)幣23億元。

這是安靠在中國(guó)***的第4座先進(jìn)封測(cè)廠,落腳于龍?zhí)秷@區(qū)。T6廠也是安靠在***建設(shè)的唯一新廠,原有3個(gè)廠房都是收購而來。

安靠于2001年在***合并上寶半導(dǎo)體與臺(tái)宏半導(dǎo)體,成立安靠***分公司,2004年并購眾晶科技湖口廠,同年入主悠立半導(dǎo)體,2010年進(jìn)駐竹科龍?zhí)丁?為因應(yīng)訂單需求增加,安靠于2017年4月收購原諾發(fā)光電廠房,將2層廠房改造為現(xiàn)代化的3層高新封測(cè)廠,并引進(jìn)晶圓測(cè)試(Wafer Probe)及裸晶切割封裝(DPS)設(shè)備,結(jié)合既有龍?zhí)禩1廠產(chǎn)能,提供客戶晶圓級(jí)封裝(WLSCP)、先進(jìn)測(cè)試,bump-probe-DPS一條龍服務(wù)。

18、欣銓科技南京測(cè)試廠投產(chǎn)

2018年3月,欣銓科技南京測(cè)試基地投產(chǎn)。

2017年5月欣銓科技南京測(cè)試基地廠房開工,12月開始移入設(shè)備。

19、SFA菲律賓、韓國(guó)新廠相繼投產(chǎn)

2018年227日,SFA旗下菲律賓子公司(SFA Semicon Philippines Corp.)位于菲律賓邦板牙省的克拉克自的新工廠P2投產(chǎn),主要生產(chǎn)eMMC芯片。20172月動(dòng)工,總投資達(dá)5500萬美元。

2018年5月,SFA韓國(guó)天安二廠K2投產(chǎn)。

在OSAT擴(kuò)大產(chǎn)能的同時(shí),晶圓代工公司臺(tái)積電也在擴(kuò)大其先進(jìn)封裝產(chǎn)能。

20、臺(tái)積電擴(kuò)大InFO產(chǎn)能

2018年3月,臺(tái)積電龍?zhí)稄SInFO后段高階封測(cè)月產(chǎn)能從10萬片到13萬片,已經(jīng)量產(chǎn)。并增購龍?zhí)抖谟玫亍?/span>

臺(tái)積電除在龍?zhí)稊U(kuò)產(chǎn)先進(jìn)封裝,也在中科10納米重鎮(zhèn)FAB15廠區(qū)旁的原臺(tái)積太陽能廠增加InFO新廠,整體產(chǎn)能可望倍增。

2018年9月,臺(tái)積電在竹南實(shí)施先進(jìn)封測(cè)廠建廠計(jì)劃,已開始進(jìn)行建廠環(huán)評(píng)作業(yè),預(yù)估半年內(nèi)完成相關(guān)程序,并預(yù)計(jì)在2020年完成設(shè)廠。

4收購篇

1、華天宣布收購Unisem

2018年912日,華天科技發(fā)布公告稱,公司擬與控股股東天水華天電子集團(tuán)、馬來西亞主板上市公司Unisem股東John Chia Sin Tet等聯(lián)合要約人,收購除馬來西亞聯(lián)合要約人直接持有Unisem公司股份以外的股份,約占Unisem公司流通股總額的75.72%。

2018年1116日,要約已在國(guó)家發(fā)改委完成境外投資備案,取得商務(wù)部門頒發(fā)的《企業(yè)境外投資證書》并完成相關(guān)外匯登記。

最新消息:2019年111日,華天科技與控股股東天水華天電子集團(tuán)要約的股份占Unisem公司流通股總額的58.94%,聯(lián)合要約人已持有的股份占流通股總額的24.28%。聯(lián)合要約人已持有和有效接受要約股份數(shù)合計(jì)占Unisem公司流通股總額的83.22%。此次要約股份交割工作正在進(jìn)行中,預(yù)計(jì)交易對(duì)價(jià)約合人民幣23.32億元。

2、通富微電宣布收購FABTRONIC

2018年1129,通富微電發(fā)布公告稱,公司下屬控股子公司通富超威檳城TF AMD MICROELECTRONICS(PENANGSDN BHD與CYBERVIEW SDN BHD簽署了收購協(xié)議,通富超威檳城擬不超過2205萬元人民幣購買CYBERVIEW SDN BHD持有的FABTRONIC SDN BHD100%股份。

3、紫光完成收購矽品蘇州30%股權(quán)

2018年18日,紫光完成收購矽品科技蘇州有限公司30%的股權(quán),矽品科技蘇州有限公司是矽品精密工業(yè)股份有限公司(SPIL)2001年在蘇州設(shè)立的獨(dú)資子公司。2017年11月紫光宣布經(jīng)10.26億元收購矽品科技蘇州有限公司30%股權(quán)。此收購案發(fā)生在2017年11月24日中國(guó)商務(wù)部有條件通過日月光與矽品精密的合并案之后的新動(dòng)作。

4、奕斯偉完成收購頎中科技68.15%股權(quán)

2018年22日,合肥奕斯偉封測(cè)技術(shù)有限公司完成收購頎中科技(蘇州)有限公司,頎中科技(蘇州)有限公司是頎邦科技股份有限公司(Chipbond)2004年在蘇州設(shè)立的獨(dú)資子公司。

2017年1214日,頎邦科技宣布出售子公司頎中科技(蘇州)有限公司部份股權(quán)予合肥地方政府基金、京東方旗下北京芯動(dòng)能投資基金及北京奕斯偉科技。交易總金額約1.66億美元

2018年118日,合肥奕斯偉封測(cè)控股有限公司、頎中控股有限公司、合肥芯屏產(chǎn)業(yè)投資基金(有限合伙)、北京芯動(dòng)能投資基金(有限合伙)、CTC INVESTMENT COMPANY LIMITED聯(lián)合組建合肥奕斯偉封測(cè)技術(shù)有限公司。

5、日月光收購恩智浦日月新40%股權(quán)

2018年815日,日月光ASE完成收購NXP(恩智浦)蘇州日月新半導(dǎo)體有限公司所持股權(quán)。

2018年3,日月光宣布1.27億美元收購了NXP(恩智浦)蘇州日月新半導(dǎo)體所持40%股權(quán),以此持有蘇州日月新半導(dǎo)體100%股權(quán)。

6、紫光收購蘇州日月新30%股權(quán)

2018年111日,紫光完成收購蘇州日月新半導(dǎo)體有限公司ASEN30%股權(quán)。

2018年8紫光宣布以29.18億元新臺(tái)幣(約9534萬美元)收購蘇州日月新半導(dǎo)體有限公司30%股權(quán)。此收購案發(fā)生在2017年11月24日中國(guó)商務(wù)部有條件通過日月光與矽品精密的合并案之后的第二個(gè)收購動(dòng)作。之前是紫光收購矽品科技蘇州有限公司30%的股權(quán)。

7、京元電收購東琳精密

2018年111日,京元電完成對(duì)從事IC封裝和記憶卡封裝服務(wù)商?hào)|琳精密的合并(京元電持股約33.5%)

2018年87日,京元電子(KYEC)董事會(huì)通過現(xiàn)金對(duì)價(jià)與東琳精密進(jìn)行合并案,合并對(duì)價(jià)金額為4.56億元。

東琳精密從事IC封裝和記憶卡封裝服務(wù),以竹南為營(yíng)運(yùn)據(jù)點(diǎn),股東京元電33.50%)、聯(lián)電旗下宏誠(chéng)創(chuàng)投、存儲(chǔ)器模組大廠威剛等。

京元電子完成收購東琳精密后,將在***擁有第一座專業(yè)封裝廠,之前其封裝主要在蘇州子公司--蘇州震坤科技有限公司。

8、蘇州固锝完成收購AICS剩余股份

2018年1229日,蘇州固锝收購馬來西亞AIC Semiconductor SDN BHDAICS)公司100%股權(quán)交割工作已完成。

蘇州固锝于2017年113日,經(jīng)公司第五屆董事會(huì)第四次臨時(shí)會(huì)議審議通過,公司與馬來西亞公司AIC Semiconductor SDN BHD的股東AIC CORPORATION SDN BH、AIC TECHNOLOGY SDN BHDATMEL CORPORATION共同簽署了《關(guān)于92%股權(quán)的轉(zhuǎn)讓協(xié)議書》。

2017年3月完成相關(guān)變更手續(xù),201741日起,AIC Semiconductor SDN BHD.納入公司合并報(bào)表范圍內(nèi)。

2018年1024日,蘇州固锝經(jīng)公司第六屆董事會(huì)第七次會(huì)議審議通過,與AICS的股東AICC簽署了《關(guān)于8%股權(quán)的轉(zhuǎn)讓協(xié)議》,本次收購?fù)瓿珊?,公司持?span style="">AIC Semiconductor SDN BHDAICS100%的股權(quán),AICS成為公司的全資子公司。

AICS被收購后目前主要提供SOICPDIP、QFN、SmartCard、氣壓傳感器等產(chǎn)品封裝測(cè)試業(yè)務(wù)。

蘇州固锝表示,整合標(biāo)的公司擁有20多年經(jīng)驗(yàn)的管理團(tuán)隊(duì),以及馬來西亞良好的半導(dǎo)體生產(chǎn)制造環(huán)境,在海外建立半導(dǎo)體封裝測(cè)試生產(chǎn)基地,有利于蘇州固锝提升國(guó)際影響力及行業(yè)地位。同時(shí),標(biāo)的公司的封裝產(chǎn)品也增加了公司集成電路的封裝品種,有利于蘇州固锝獲得先進(jìn)封裝技術(shù),提升研發(fā)實(shí)力,躋身全球一流封測(cè)企業(yè)。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 封測(cè)
    +關(guān)注

    關(guān)注

    4

    文章

    320

    瀏覽量

    35002

原文標(biāo)題:全球封測(cè)業(yè)TOP10榜單發(fā)布

文章出處:【微信號(hào):BIEIqbs,微信公眾號(hào):北京市電子科技情報(bào)研究所】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    福布斯榜單上,英偉達(dá)、博通、AMD成為半導(dǎo)體三大巨頭

    《福布斯》全球企業(yè)2000強(qiáng)根據(jù)銷售額、利潤(rùn)、資產(chǎn)和市值對(duì)公司進(jìn)行排名,這四個(gè)變量的權(quán)重相同。今年的榜單涵蓋了截至5月17日的最近12個(gè)月的數(shù)據(jù)。榜單上的2000家公司的總市值達(dá)到88萬億美元,市值
    的頭像 發(fā)表于 06-18 10:02 ?429次閱讀
    福布斯<b class='flag-5'>榜單</b>上,英偉達(dá)、博通、AMD成為半導(dǎo)體三<b class='flag-5'>大巨頭</b>

    天合光能再次入選《財(cái)富》榜單

    近日,《財(cái)富》“中國(guó)全球化未來新星”年度榜單正式發(fā)布,天合光能憑借其全球化布局中的領(lǐng)先性成功入選! 此次《財(cái)富》推出的“中國(guó)全球化未來新星”榜單
    的頭像 發(fā)表于 06-03 17:32 ?814次閱讀

    亞馬遜研發(fā)支出領(lǐng)跑全球 研發(fā)支出高達(dá)852億美元

    ;研發(fā)支出達(dá)459億美元;而華為也上榜全球研發(fā)支出最高的十大公司榜單,位列第七,研發(fā)支出達(dá)235億美元。此外Meta、蘋果、默克、微軟、三星、大眾等也都上榜全球研發(fā)支出最高的
    的頭像 發(fā)表于 05-30 11:46 ?1222次閱讀

    全球十大IC設(shè)計(jì)巨頭營(yíng)收增長(zhǎng)12%,英偉達(dá)引領(lǐng)產(chǎn)業(yè)繁榮

     5月10日,集邦咨詢發(fā)布產(chǎn)業(yè)報(bào)告指出,預(yù)計(jì)2023年全球十大IC設(shè)計(jì)業(yè)者營(yíng)收總和約為1676億美元,同比上升12%。值得注意的是,英偉達(dá)以105%的營(yíng)收同比增長(zhǎng)率引領(lǐng)整個(gè)行業(yè),博通、上海韋爾半導(dǎo)體和芯源系統(tǒng)的營(yíng)收亦有所增長(zhǎng)
    的頭像 發(fā)表于 05-10 11:31 ?348次閱讀

    臺(tái)積電重回全球十大上市公司

    臺(tái)積電重回全球十大上市公司 人工智能相關(guān)企業(yè)持續(xù)被資金關(guān)注,在AI需求旺盛的帶動(dòng)下臺(tái)積電股價(jià)水漲船高,臺(tái)積電重回全球十大上市公司;這是臺(tái)積電2020年以來首次重返
    的頭像 發(fā)表于 03-12 17:00 ?925次閱讀

    睿創(chuàng)微納8微米榮獲“2023年度山東十大科技創(chuàng)新成果”

    近日,在煙臺(tái)召開的兩院院士評(píng)選“2023年中國(guó)/世界十大科技進(jìn)展新聞”發(fā)布會(huì)上,公布了“2023年度山東省十大科技創(chuàng)新成果”榜單。其中,睿創(chuàng)微納憑借其研發(fā)的8微米非制冷紅外熱成像模組,榮獲榜單
    的頭像 發(fā)表于 01-25 16:27 ?510次閱讀

    睿創(chuàng)微納8微米榮獲“2023年度山東十大科技創(chuàng)新成果”

    1月11日,兩院院士評(píng)選“2023年中國(guó)/世界十大科技進(jìn)展新聞”發(fā)布會(huì)在煙臺(tái)召開,會(huì)上公布“2023年度山東省十大科技創(chuàng)新成果”榜單。
    的頭像 發(fā)表于 01-16 09:48 ?438次閱讀
    睿創(chuàng)微納8微米榮獲“2023年度山東<b class='flag-5'>十大</b>科技創(chuàng)新成果”

    2024 年“十大突破性技術(shù)”榜單

    初,《麻省理工科技評(píng)論》(MITTechnologyReview)發(fā)布了其2024年“十大突破性技術(shù)”榜單,這份榜單突出了一些可能對(duì)世界產(chǎn)生顯著影響的技術(shù)。在最新的20
    的頭像 發(fā)表于 01-16 08:27 ?1212次閱讀
    2024 年“<b class='flag-5'>十大</b>突破性技術(shù)”<b class='flag-5'>榜單</b>

    Ansys入選“2023世界智能制造十大科技進(jìn)展”榜單

    近日,2023世界智能制造大會(huì)在南京盛大召開,在主題大會(huì)期間重磅發(fā)布智能制造“雙”科技進(jìn)展名單,《Ansys AI驅(qū)動(dòng)的工程仿真解決方案》成功入選“2023世界智能制造十大科技進(jìn)展”榜單*。
    的頭像 發(fā)表于 12-22 10:28 ?634次閱讀
    Ansys入選“2023世界智能制造<b class='flag-5'>十大</b>科技進(jìn)展”<b class='flag-5'>榜單</b>

    2023青年最關(guān)注改變未來十大變革的科技

    清華大學(xué)《探臻科技評(píng)論》2023“青年最關(guān)注的改變未來十大變革科技”榜單發(fā)布!為營(yíng)造良好的科技創(chuàng)新生態(tài),鼓勵(lì)廣大青年學(xué)子瞄準(zhǔn)行業(yè)前沿,深入探索交叉領(lǐng)域,清華大學(xué)《探臻科技評(píng)論》開展了2023“青年
    的頭像 發(fā)表于 11-29 08:09 ?329次閱讀
    2023青年最關(guān)注改變未來<b class='flag-5'>十大</b>變革的科技

    十大WiFi常見誤解

    電子發(fā)燒友網(wǎng)站提供《十大WiFi常見誤解.doc》資料免費(fèi)下載
    發(fā)表于 11-10 16:06 ?1次下載
    <b class='flag-5'>十大</b>WiFi常見誤解

    最熱門的十大綠色能源公司

    電子發(fā)燒友網(wǎng)站提供《最熱門的十大綠色能源公司.doc》資料免費(fèi)下載
    發(fā)表于 11-02 14:37 ?1次下載
    最熱門的<b class='flag-5'>十大</b>綠色能源公司

    單片機(jī)ADC,十大C語言濾波算法

    單片機(jī)ADC,十大C語言濾波算法
    的頭像 發(fā)表于 10-24 15:53 ?1043次閱讀

    NVIDIA登頂?shù)诙?b class='flag-5'>全球十大IC設(shè)計(jì)公司營(yíng)收

    TrendForce集邦咨詢表示,AI刺激相關(guān)供應(yīng)鏈備貨熱潮,除了激勵(lì)第二季全球十大IC設(shè)計(jì)公司營(yíng)收達(dá)381億美元,環(huán)比增長(zhǎng)12.5%,也推升NVIDIA(英偉達(dá))在第二季正式取代Qualcomm
    的頭像 發(fā)表于 09-25 15:02 ?755次閱讀
    NVIDIA登頂?shù)诙?b class='flag-5'>全球</b>前<b class='flag-5'>十大</b>IC設(shè)計(jì)公司營(yíng)收

    變頻器的十大“酷刑”

    變頻器的十大“酷刑”
    的頭像 發(fā)表于 09-25 08:37 ?648次閱讀