0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

你不知道的STM32知識(shí)匯總

GReq_mcu168 ? 來(lái)源:cc ? 2019-03-03 09:29 ? 次閱讀

STM32是一種功能比較強(qiáng)大的32位單片機(jī),廣泛應(yīng)用于各種嵌入式設(shè)備中,由于它的普及性及豐富的資源,受到廣大嵌入式開發(fā)者的喜歡,但要想學(xué)好用好STM32也并非易事,畢竟,相比8位、16位產(chǎn)品,STM32要復(fù)雜得多。

STM32的時(shí)鐘

眾所周知STM32有5個(gè)時(shí)鐘源HSI、HSE、LSI、LSE、PLL,其實(shí)它只有四個(gè),因?yàn)閺南聢D中可以看到PLL都是由HSI或HSE提供的。

其中,高速時(shí)鐘(HSE和HSI)提供給芯片主體的主時(shí)鐘.低速時(shí)鐘(LSE和LSI)只是提供給芯片中的RTC(實(shí)時(shí)時(shí)鐘)及獨(dú)立看門狗使用,圖中可以看出高速時(shí)鐘也可以提供給RTC。內(nèi)部時(shí)鐘是在芯片內(nèi)部RC振蕩器產(chǎn)生的,起振較快,所以時(shí)鐘在芯片剛上電的時(shí)候,默認(rèn)使用內(nèi)部高速時(shí)鐘。而外部時(shí)鐘信號(hào)是由外部的晶振輸入的,在精度和穩(wěn)定性上都有很大優(yōu)勢(shì),所以上電之后我們?cè)偻ㄟ^(guò)軟件配置,轉(zhuǎn)而采用外部時(shí)鐘信號(hào).

高速外部時(shí)鐘(HSE):以外部晶振作時(shí)鐘源,晶振頻率可取范圍為4~16MHz,我們一般采用8MHz的晶振。

高速內(nèi)部時(shí)鐘(HSI): 由內(nèi)部RC振蕩器產(chǎn)生,頻率為8MHz,但不穩(wěn)定。

低速外部時(shí)鐘(LSE):以外部晶振作時(shí)鐘源,主要提供給實(shí)時(shí)時(shí)鐘模塊,所以一般采用32.768KHz。

低速內(nèi)部時(shí)鐘(LSI):由內(nèi)部RC振蕩器產(chǎn)生,也主要提供給實(shí)時(shí)時(shí)鐘模塊,頻率大約為40KHz。

OSC_OUT和OSC_IN開始,這兩個(gè)引腳分別接到外部晶振8MHz,第一個(gè)分頻器PLLXTPRE,遇到開關(guān)PLLSRC(PLL entry clock source),我們可以選擇其輸出,輸出為外部高速時(shí)鐘(HSE)或是內(nèi)部高速時(shí)鐘(HSI)。這里選擇輸出為HSE,接著遇到鎖相環(huán)PLL,具有倍頻作用,在這里我們可以輸入倍頻因子PLLMUL,要是想超頻,就得在這個(gè)寄存器上做手腳啦。經(jīng)過(guò)PLL的時(shí)鐘稱為PLLCLK。倍頻因子我們?cè)O(shè)定為9倍頻,也就是說(shuō),經(jīng)過(guò)PLL之后,我們的時(shí)鐘從原來(lái)8MHz的 HSE變?yōu)?2MHz的PLLCLK。緊接著又遇到了一個(gè)開關(guān)SW,經(jīng)過(guò)這個(gè)開關(guān)之后就是STM32的系統(tǒng)時(shí)鐘(SYSCLK)了。通過(guò)這個(gè)開關(guān),可以切換SYSCLK的時(shí)鐘源,可以選擇為HSI、PLLCLK、HSE。我們選擇為PLLCLK時(shí)鐘,所以SYSCLK就為72MHz了。PLLCLK在輸入到SW前,還流向了USB預(yù)分頻器,這個(gè)分頻器輸出為USB外設(shè)的時(shí)鐘(USBCLK)。回到SYSCLK,SYSCLK經(jīng)過(guò)AHB預(yù)分頻器,分頻后再輸入到其它外設(shè)。如輸出到稱為HCLK、FCLK的時(shí)鐘,還直接輸出到SDIO外設(shè)的SDIOCLK時(shí)鐘、存儲(chǔ)器控制器FSMC的FSMCCLK時(shí)鐘,和作為APB1、APB2的預(yù)分頻器的輸入端。GPIO外設(shè)是掛載在APB2總線上的, APB2的時(shí)鐘是APB2預(yù)分頻器的輸出,而APB2預(yù)分頻器的時(shí)鐘來(lái)源是AHB預(yù)分頻器。因此,把APB2預(yù)分頻器設(shè)置為不分頻,那么我們就可以得到GPIO外設(shè)的時(shí)鐘也等于HCLK,為72MHz了。

SYSCLK:系統(tǒng)時(shí)鐘,STM32大部分器件的時(shí)鐘來(lái)源。主要由AHB預(yù)分頻器分配到各個(gè)部件。

HCLK:由AHB預(yù)分頻器直接輸出得到,它是高速總線AHB的時(shí)鐘信號(hào),提供給存儲(chǔ)器,DMA及cortex內(nèi)核,是cortex內(nèi)核運(yùn)行的時(shí)鐘,cpu主頻就是這個(gè)信號(hào),它的大小與STM32運(yùn)算速度,數(shù)據(jù)存取速度密切相關(guān)。

FCLK:同樣由AHB預(yù)分頻器輸出得到,是內(nèi)核的“自由運(yùn)行時(shí)鐘”?!白杂伞北憩F(xiàn)在它不來(lái)自時(shí)鐘 HCLK,因此在HCLK時(shí)鐘停止時(shí) FCLK 也繼續(xù)運(yùn)行。它的存在,可以保證在處理器休眠時(shí),也能夠采樣和到中斷和跟蹤休眠事件 ,它與HCLK互相同步。

PCLK1:外設(shè)時(shí)鐘,由APB1預(yù)分頻器輸出得到,最大頻率為36MHz,提供給掛載在APB1總線上的外設(shè),APB1總線上的外設(shè)如下:

RCC_APB1Periph_TIM2 TIM2時(shí)鐘

RCC_APB1Periph_TIM3 TIM3時(shí)鐘

RCC_APB1Periph_TIM4 TIM4時(shí)鐘

RCC_APB1Periph_WWDG WWDG時(shí)鐘

RCC_APB1Periph_SPI2 SPI2時(shí)鐘

RCC_APB1Periph_USART2 USART2時(shí)鐘

RCC_APB1Periph_USART3 USART3時(shí)鐘

RCC_APB1Periph_I2C1 I2C1時(shí)鐘

RCC_APB1Periph_I2C2 I2C2時(shí)鐘

RCC_APB1Periph_USB USB時(shí)鐘

RCC_APB1Periph_CAN CAN時(shí)鐘

RCC_APB1Periph_BKP BKP時(shí)鐘

RCC_APB1Periph_PWR PWR時(shí)鐘

RCC_APB1Periph_ALL 全部APB1外設(shè)時(shí)鐘

PCLK2:外設(shè)時(shí)鐘,由APB2預(yù)分頻器輸出得到,最大頻率可為72MHz,提供給掛載在APB2總線上的外設(shè),APB2總線上的外設(shè)如下:

RCC_APB2Periph_AFIO 功能復(fù)用IO時(shí)鐘

RCC_APB2Periph_GPIOA GPIOA時(shí)鐘

RCC_APB2Periph_GPIOB GPIOB時(shí)鐘

RCC_APB2Periph_GPIOC GPIOC時(shí)鐘

RCC_APB2Periph_GPIOD GPIOD時(shí)鐘

RCC_APB2Periph_GPIOE GPIOE時(shí)鐘

RCC_APB2Periph_ADC1 ADC1時(shí)鐘

RCC_APB2Periph_ADC2 ADC2時(shí)鐘

RCC_APB2Periph_TIM1 TIM1時(shí)鐘

RCC_APB2Periph_SPI1 SPI1時(shí)鐘

RCC_APB2Periph_USART1 USART1時(shí)鐘

RCC_APB2Periph_ALL 全部APB2外設(shè)時(shí)鐘

STM32的幾種輸入模式

STM32有4種輸入模式:

1)模擬輸入 GPIO_AIN:用于AD轉(zhuǎn)換

2)浮空輸入 GPIO_IN_FLOATING:引腳處于浮空模式,電平狀態(tài)是不確定的。外部信號(hào)輸入什么,IO口就是什么狀態(tài)。

3)上拉輸入 GPIO_IPU:防止IO口出現(xiàn)不確定的狀態(tài),比如,當(dāng)IO口懸空時(shí),就會(huì)通過(guò)內(nèi)部的上拉電阻將該點(diǎn)鉗位在高電平。

4)下拉輸入 GPIO_IPD:功能與上拉電阻類似,防止IO口出現(xiàn)不確定的狀態(tài),比如,當(dāng)IO口懸空時(shí),就會(huì)通過(guò)內(nèi)部的下拉電阻將該點(diǎn)鉗位在低電平。

STM32中空的I/O管腳是高電平還是低電平取決于具體情況。

1、IO端口復(fù)位后處于浮空狀態(tài),也就是其電平狀態(tài)由外圍電路決定。

2、STM32上電復(fù)位瞬間I/O口的電平狀態(tài)默認(rèn)是浮空輸入,因此是高阻。做到低功耗。

3、STM32的IO管腳配置口默認(rèn)為浮空輸入,把選擇權(quán)留給用戶,這是一個(gè)很大的優(yōu)勢(shì):一方面浮空輸入確保不會(huì)出現(xiàn)用戶不希望的默認(rèn)電平(此時(shí)電平取決于用戶的外圍電路);另一方面降低了功耗,因?yàn)椴还苁巧侠€是下拉都會(huì)有電流消耗。從另一個(gè)角度來(lái)看,不管I/O管腳的默認(rèn)配置如何,還是需要在輸出的管腳外加上拉或下拉,這是為了保證芯片上電期間和復(fù)位時(shí)輸出的管腳始終處于已知的電平。

4、在沒(méi)有任何操作的情況下,STM32通用推挽輸出模式的引腳默認(rèn)低電平,也就是有電的狀態(tài)。所以在配置的時(shí)候通常會(huì)先把引腳的電平設(shè)置拉高,讓電路不產(chǎn)生電流。有電到?jīng)]電這一過(guò)程也就是引腳電平從低到高的過(guò)程。

5、STM32的I/O管腳有兩種:TTL和CMOS,所有管腳都兼容TTL和CMOS電平。也就是說(shuō)從輸入識(shí)別電壓上看,所有管腳不管是TTL管腳還是CMOS管腳都可以識(shí)別TTL或CMOS電平。

STM32的中斷系統(tǒng)

在STM32中,中斷數(shù)量大大增加,而且中斷的設(shè)置也更加復(fù)雜。

1基本概念

ARM Coetex-M3內(nèi)核共支持256個(gè)中斷,其中16個(gè)內(nèi)部中斷,240個(gè)外部中斷和可編程的256級(jí)中斷優(yōu)先級(jí)的設(shè)置。STM32目前支持的中斷共84個(gè)(16個(gè)內(nèi)部+68個(gè)外部),還有16級(jí)可編程的中斷優(yōu)先級(jí)的設(shè)置,僅使用中斷優(yōu)先級(jí)設(shè)置8bit中的高4位。

STM32可支持68個(gè)中斷通道,已經(jīng)固定分配給相應(yīng)的外部設(shè)備,每個(gè)中斷通道都具備自己的中斷優(yōu)先級(jí)控制字節(jié)PRI_n(8位,但是STM32中只使用4位,高4位有效),每4個(gè)通道的8位中斷優(yōu)先級(jí)控制字構(gòu)成一個(gè)32位的優(yōu)先級(jí)寄存器。68個(gè)通道的優(yōu)先級(jí)控制字至少構(gòu)成17個(gè)32位的優(yōu)先級(jí)寄存器。

4bit的中斷優(yōu)先級(jí)可以分成2組,從高位看,前面定義的是搶占式優(yōu)先級(jí),后面是響應(yīng)優(yōu)先級(jí)。按照這種分組,4bit一共可以分成5組

第0組:所有4bit用于指定響應(yīng)優(yōu)先級(jí);

第1組:最高1位用于指定搶占式優(yōu)先級(jí),后面3位用于指定響應(yīng)優(yōu)先級(jí);

第2組:最高2位用于指定搶占式優(yōu)先級(jí),后面2位用于指定響應(yīng)優(yōu)先級(jí);

第3組:最高3位用于指定搶占式優(yōu)先級(jí),后面1位用于指定響應(yīng)優(yōu)先級(jí);

第4組:所有4位用于指定搶占式優(yōu)先級(jí)。

所謂搶占式優(yōu)先級(jí)和響應(yīng)優(yōu)先級(jí),他們之間的關(guān)系是:具有高搶占式優(yōu)先級(jí)的中斷可以在具有低搶占式優(yōu)先級(jí)的中斷處理過(guò)程中被響應(yīng),即中斷嵌套。

當(dāng)兩個(gè)中斷源的搶占式優(yōu)先級(jí)相同時(shí),這兩個(gè)中斷將沒(méi)有嵌套關(guān)系,當(dāng)一個(gè)中斷到來(lái)后,如果正在處理另一個(gè)中斷,這個(gè)后到來(lái)的中斷就要等到前一個(gè)中斷處理完之后才能被處理。如果這兩個(gè)中斷同時(shí)到達(dá),則中斷控制器根據(jù)他們的響應(yīng)優(yōu)先級(jí)高低來(lái)決定先處理哪一個(gè);如果他們的搶占式優(yōu)先級(jí)和響應(yīng)優(yōu)先級(jí)都相等,則根據(jù)他們?cè)谥袛啾碇械呐盼豁樞驔Q定先處理哪一個(gè)。每一個(gè)中斷源都必須定義2個(gè)優(yōu)先級(jí)。

有幾點(diǎn)需要注意的是:

1)如果指定的搶占式優(yōu)先級(jí)別或響應(yīng)優(yōu)先級(jí)別超出了選定的優(yōu)先級(jí)分組所限定的范圍,將可能得到意想不到的結(jié)果;

2)搶占式優(yōu)先級(jí)別相同的中斷源之間沒(méi)有嵌套關(guān)系;

3)如果某個(gè)中斷源被指定為某個(gè)搶占式優(yōu)先級(jí)別,又沒(méi)有其它中斷源處于同一個(gè)搶占式優(yōu)先級(jí)別,則可以為這個(gè)中斷源指定任意有效的響應(yīng)優(yōu)先級(jí)別。

2 GPIO外部中斷

STM32中,每一個(gè)GPIO都可以觸發(fā)一個(gè)外部中斷,但是,GPIO的中斷是以組位一個(gè)單位的,同組間的外部中斷同一時(shí)間只能使用一個(gè)。比如說(shuō),PA0,PB0,PC0,PD0,PE0,PF0,PG0這些為1組,如果我們使用PA0作為外部中斷源,那么別的就不能夠再使用了,在此情況下,我們只能使用類似于PB1,PC2這種末端序號(hào)不同的外部中斷源。每一組使用一個(gè)中斷標(biāo)志EXTIx。EXTI0 – EXTI4這5個(gè)外部中斷有著自己的單獨(dú)的中斷響應(yīng)函數(shù),EXTI5-9共用一個(gè)中斷響應(yīng)函數(shù),EXTI10-15共用一個(gè)中斷響應(yīng)函數(shù)。

對(duì)于中斷的控制,STM32有一個(gè)專用的管理機(jī)構(gòu):NVIC。對(duì)于NVIC的詳細(xì)解釋,可以參考《ARM Cortex-M3權(quán)威指南》,Joseph Yiu著,宋巖譯,北京航空航天大學(xué)出版社出版,第8章NVIC與中斷控制。中斷的使能,掛起,優(yōu)先級(jí),活動(dòng)等等部都是NVIC在管理的。因?yàn)槲覍W(xué)習(xí)STM32重點(diǎn)在于如何開發(fā)程序,所以內(nèi)部的一些東西,在此我就不詳細(xì)說(shuō)明了,有感興趣的可以參看上面提到的那本數(shù)。

STM32外部中斷使用實(shí)例

其實(shí)上面那些基本概念和知識(shí)只是對(duì)STM32的中斷系統(tǒng)有一個(gè)大概的認(rèn)識(shí),用程序說(shuō)話將會(huì)更能夠加深如何使用中斷。使用外部中斷的基本步驟如下:

1.設(shè)置好相應(yīng)的時(shí)鐘;

2.設(shè)置相應(yīng)的中斷;

3.IO口初始化;

4.把相應(yīng)的IO口設(shè)置為中斷線路(要在設(shè)置外部中斷之前)并初始化;

5.在選擇的中斷通道的響應(yīng)函數(shù)中中斷函數(shù)。

由于我用的奮斗開發(fā)板沒(méi)有引出相應(yīng)的芯片引腳,所以只能用按鍵來(lái)觸發(fā)相應(yīng)的中斷。根據(jù)原理圖,K1/K2/K3連接的是PC5/PC2/PC3,因此我將用EXTI5/EXTI2/EXTI3三個(gè)外部中斷。PB5/PD6/PD3分別連接了三個(gè)LED燈。中斷的效果是按下按鍵,相應(yīng)的LED燈將會(huì)被點(diǎn)亮。

1.設(shè)置相應(yīng)的時(shí)鐘

首先需要打開GPIOB、GPIOC和GPIOE(因?yàn)榘存I另外一端連接的是PE口)。然后由于是要用于觸發(fā)中斷,所以還需要打開GPIO復(fù)用的時(shí)鐘。相應(yīng)的函數(shù)在GPIO的學(xué)習(xí)筆記中有了詳細(xì)了解釋。詳細(xì)代碼如下:

void RCC_cfg()

{

//打開PE PD PC PB端口時(shí)鐘,并且打開復(fù)用時(shí)鐘

RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE| RCC_APB2Periph_GPIOC | RCC_APB2Periph_GPIOD | RCC_APB2Periph_GPIOB |RCC_APB2Periph_AFIO, ENABLE);

}

設(shè)置相應(yīng)的時(shí)鐘所需要的RCC函數(shù)在stm32f10x_rcc.c中,所以要在工程中添加此文件。

2.設(shè)置好相應(yīng)的中斷

設(shè)置相應(yīng)的中斷實(shí)際上就是設(shè)置NVIC,在STM32的固件庫(kù)中有一個(gè)結(jié)構(gòu)體NVIC_InitTypeDef,里面有相應(yīng)的標(biāo)志位設(shè)置,然后再用NVIC_Init()函數(shù)進(jìn)行初始化。詳細(xì)代碼如下:

void NVIC_cfg()

{

NVIC_InitTypeDefNVIC_InitStructure;

NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);//選擇中斷分組2

NVIC_InitStructure.NVIC_IRQChannel= EXTI2_IRQChannel;//選擇中斷通道2

NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority= 0; //搶占式中斷優(yōu)先級(jí)設(shè)置為0

NVIC_InitStructure.NVIC_IRQChannelSubPriority= 0;//響應(yīng)式中斷優(yōu)先級(jí)設(shè)置為0

NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;//使能中斷

NVIC_Init(&NVIC_InitStructure);

NVIC_InitStructure.NVIC_IRQChannel=EXTI3_IRQChannel;//選擇中斷通道3

NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority= 1; //搶占式中斷優(yōu)先級(jí)設(shè)置為1

NVIC_InitStructure.NVIC_IRQChannelSubPriority= 1;//響應(yīng)式中斷優(yōu)先級(jí)設(shè)置為1

NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;//使能中斷

NVIC_Init(&NVIC_InitStructure);

NVIC_InitStructure.NVIC_IRQChannel= EXTI9_5_IRQChannel;//選擇中斷通道5

NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority= 2; //搶占式中斷優(yōu)先級(jí)設(shè)置為2

NVIC_InitStructure.NVIC_IRQChannelSubPriority= 2;//響應(yīng)式中斷優(yōu)先級(jí)設(shè)置為2

NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;//使能中斷

NVIC_Init(&NVIC_InitStructure);

}

由于有3個(gè)中斷,因此根據(jù)前文所述,需要有3個(gè)bit來(lái)指定搶占優(yōu)先級(jí),所以選擇第2組。又由于EXTI5-9共用一個(gè)中斷響應(yīng)函數(shù),所以EXTI5選擇的中斷通道是EXTI9_5_IRQChannel,詳細(xì)信息可以在頭文件中查詢得到。用到的NVIC相關(guān)的庫(kù)函數(shù)在stm32f10x_nivc.c中,需要將此文件復(fù)制并添加到工程中。具體位置可以查看關(guān)于GPIO的筆記。這段代碼編譯起來(lái)沒(méi)有任何問(wèn)題,但是在鏈接的時(shí)候就會(huì)報(bào)錯(cuò),需要把STM32F10xR.LIB加入工程中,具體位置在…\Keil\ARM\RV31\LIB\ST\STM32F10xR.LIB。

3. IO口初始化

void IO_cfg()

{

GPIO_InitTypeDefGPIO_InitStructure;

GPIO_InitStructure.GPIO_Pin=GPIO_Pin_2;//選擇引腳2

GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//輸出頻率最大50MHz

GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;//帶上拉電阻輸出

GPIO_Init(GPIOE,&GPIO_InitStructure);

GPIO_ResetBits(GPIOE,GPIO_Pin_2);//將PE.2引腳設(shè)置為低電平輸出

GPIO_InitStructure.GPIO_Pin= GPIO_Pin_2 | GPIO_Pin_3 | GPIO_Pin_5; //選擇引腳2 3 5

GPIO_InitStructure.GPIO_Mode= GPIO_Mode_IN_FLOATING; //選擇輸入模式為浮空輸入

GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//輸出頻率最大50MHz

GPIO_Init(GPIOC,&GPIO_InitStructure);//設(shè)置PC.2/PC.3/PC.5

GPIO_InitStructure.GPIO_Pin= GPIO_Pin_3 |GPIO_Pin_6;//選擇引腳3 6

GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//輸出頻率最大50MHz

GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;//帶上拉電阻輸出

GPIO_Init(GPIOD,&GPIO_InitStructure);

GPIO_InitStructure.GPIO_Pin=GPIO_Pin_5;//選擇引腳5

GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//輸出頻率最大50MHz

GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;//帶上拉電阻輸出

GPIO_Init(GPIOB,&GPIO_InitStructure);

}

其中連接外部中斷的引腳需要設(shè)置為輸入狀態(tài),而連接LED的引腳需要設(shè)置為輸出狀態(tài),初始化PE.2是為了使得按鍵的另外一端輸出低電平。GPIO中的函數(shù)在stm32f10x_gpio.c中。

4.把相應(yīng)的IO口設(shè)置為中斷線路

由于GPIO并不是專用的中斷引腳,因此在用GPIO來(lái)觸發(fā)外部中斷的時(shí)候需要設(shè)置將GPIO相應(yīng)的引腳和中斷線連接起來(lái),具體代碼如下:

void EXTI_cfg()

{

EXTI_InitTypeDefEXTI_InitStructure;

//清空中斷標(biāo)志

EXTI_ClearITPendingBit(EXTI_Line2);

EXTI_ClearITPendingBit(EXTI_Line3);

EXTI_ClearITPendingBit(EXTI_Line5);

//選擇中斷管腳PC.2 PC.3 PC.5

GPIO_EXTILineConfig(GPIO_PortSourceGPIOC,GPIO_PinSource2);

GPIO_EXTILineConfig(GPIO_PortSourceGPIOC,GPIO_PinSource3);

GPIO_EXTILineConfig(GPIO_PortSourceGPIOC,GPIO_PinSource5);

EXTI_InitStructure.EXTI_Line= EXTI_Line2 | EXTI_Line3 | EXTI_Line5; //選擇中斷線路2 3 5

EXTI_InitStructure.EXTI_Mode= EXTI_Mode_Interrupt; //設(shè)置為中斷請(qǐng)求,非事件請(qǐng)求

EXTI_InitStructure.EXTI_Trigger= EXTI_Trigger_Rising_Falling; //設(shè)置中斷觸發(fā)方式為上下降沿觸發(fā)

EXTI_InitStructure.EXTI_LineCmd=ENABLE;//外部中斷使能

EXTI_Init(&EXTI_InitStructure);

}

EXTI_cfg中需要調(diào)用到的函數(shù)都在stm32f10x_exti.c。

5.寫中斷響應(yīng)函數(shù)

STM32不像C51單片機(jī)那樣,可以用過(guò)interrupt關(guān)鍵字來(lái)定義中斷響應(yīng)函數(shù),STM32的中斷響應(yīng)函數(shù)接口存在中斷向量表中,是由啟動(dòng)代碼給出的。默認(rèn)的中斷響應(yīng)函數(shù)在stm32f10x_it.c中。因此我們需要把這個(gè)文件加入到工程中來(lái)。

在這個(gè)文件中,我們發(fā)現(xiàn),很多函數(shù)都是只有一個(gè)函數(shù)名,并沒(méi)有函數(shù)體。我們找到EXTI2_IRQHandler()這個(gè)函數(shù),這就是EXTI2中斷響應(yīng)的函數(shù)。我的目標(biāo)是將LED燈點(diǎn)亮,所以函數(shù)體其實(shí)很簡(jiǎn)單:

voidEXTI2_IRQHandler(void)

{

//點(diǎn)亮LED燈

GPIO_SetBits(GPIOD,GPIO_Pin_6);

//清空中斷標(biāo)志位,防止持續(xù)進(jìn)入中斷

EXTI_ClearITPendingBit(EXTI_Line2);

}

voidEXTI3_IRQHandler(void)

{

GPIO_SetBits(GPIOD,GPIO_Pin_3);

EXTI_ClearITPendingBit(EXTI_Line3);

}

voidEXTI9_5_IRQHandler(void)

{

GPIO_SetBits(GPIOB,GPIO_Pin_5);

EXTI_ClearITPendingBit(EXTI_Line5);

}

由于EXTI5-9是共用一個(gè)中斷響應(yīng)函數(shù),因此所有的EXTI5 – EXTI9的響應(yīng)函數(shù)都寫在這個(gè)里面。

6.寫主函數(shù)

#include"stm32f10x_lib.h"

void RCC_cfg();

void IO_cfg();

void EXTI_cfg();

void NVIC_cfg();

int main()

{

RCC_cfg();

IO_cfg();

NVIC_cfg();

EXTI_cfg();

while(1);

}

main函數(shù)前是函數(shù)聲明,main函數(shù)函數(shù)體中都是調(diào)用初始化配置函數(shù),然后進(jìn)入死循環(huán),等待中斷響應(yīng)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 嵌入式
    +關(guān)注

    關(guān)注

    5046

    文章

    18817

    瀏覽量

    298537
  • STM32
    +關(guān)注

    關(guān)注

    2258

    文章

    10828

    瀏覽量

    352482

原文標(biāo)題:你知道和不知道的STM32知識(shí)

文章出處:【微信號(hào):mcu168,微信公眾號(hào):硬件攻城獅】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    不知道STM32知識(shí)匯總

    使用實(shí)例其實(shí)上面那些基本概念和知識(shí)只是對(duì)STM32的中斷系統(tǒng)有一個(gè)大概的認(rèn)識(shí),用程序說(shuō)話將會(huì)更能夠加深如何使用中斷。使用外部中斷的基本步驟如下:1. 設(shè)置好相應(yīng)的時(shí)鐘;2. 設(shè)置相應(yīng)的中斷;3. IO
    發(fā)表于 03-07 18:19

    不知道STM32知識(shí)匯總

    使用實(shí)例其實(shí)上面那些基本概念和知識(shí)只是對(duì)STM32的中斷系統(tǒng)有一個(gè)大概的認(rèn)識(shí),用程序說(shuō)話將會(huì)更能夠加深如何使用中斷。使用外部中斷的基本步驟如下:1. 設(shè)置好相應(yīng)的時(shí)鐘;2. 設(shè)置相應(yīng)的中斷;3. IO
    發(fā)表于 03-07 19:51

    不知道STM32知識(shí)匯總 精選資料推薦

    STM32是一種功能比較強(qiáng)大的32位單片機(jī),廣泛應(yīng)用于各種嵌入式設(shè)備中,由于它的普及性及豐富的資源,受到廣大嵌入式開發(fā)者的喜歡,但要想學(xué)好用好STM32也并非易事,畢竟,相比8位、16位產(chǎn)品
    發(fā)表于 08-20 07:51

    不知道STM32知識(shí)匯總 精選資料推薦

    STM32是一種功能比較強(qiáng)大的32位單片機(jī),廣泛應(yīng)用于各種嵌入式設(shè)備中,由于它的普及性及豐富的資源,受到廣大嵌入式開發(fā)者的喜歡,但要想學(xué)好用好STM32也并非易事,畢竟,相比8位、16位產(chǎn)品
    發(fā)表于 08-23 06:40

    Miniplayer小技巧 保證有不知道

    Miniplayer小技巧 保證有不知道的 1,用電源充電完成后先別拔充電器,先按住開關(guān)別放再拔開線就不用更新歌曲庫(kù)了。
    發(fā)表于 02-01 16:38 ?670次閱讀

    玩轉(zhuǎn)iPhone:可能不知道的iPhone實(shí)用技巧

    玩轉(zhuǎn)iPhone:可能不知道的iPhone實(shí)用技巧 玩iPhone有段時(shí)間了吧,怎么才能讓iPhone更順手呢?在這里可以看到一些最新的iPhone小技巧
    發(fā)表于 04-07 09:22 ?301次閱讀

    淺談不知道STM32知識(shí)

    STM32是一種功能比較強(qiáng)大的32位單片機(jī),廣泛應(yīng)用于各種嵌入式設(shè)備中,由于它的普及性及豐富的資源,受到廣大嵌入式開發(fā)者的喜歡,但要想學(xué)好用好STM32也并非易事,畢竟,相比8位、16位產(chǎn)品,STM32要復(fù)雜得多。
    的頭像 發(fā)表于 01-29 16:29 ?4913次閱讀
    淺談<b class='flag-5'>你</b><b class='flag-5'>不知道</b>的<b class='flag-5'>STM32</b><b class='flag-5'>知識(shí)</b>

    到底知不知道硬件設(shè)計(jì)是什么?

    想學(xué)習(xí)硬件電路方面的設(shè)計(jì),但不知道怎么入手? 懵懵懂的進(jìn)入硬件領(lǐng)域,對(duì)自己的發(fā)展和方向很迷茫? 做了一段時(shí)間硬件設(shè)計(jì),事業(yè)發(fā)展和薪資遭遇瓶頸? 有時(shí)候必須承認(rèn),還不夠優(yōu)秀!甚至不知道
    的頭像 發(fā)表于 05-18 11:27 ?9602次閱讀

    關(guān)于可能不知道的printf

    可能不知道的printf
    的頭像 發(fā)表于 02-05 12:28 ?2520次閱讀
    關(guān)于<b class='flag-5'>你</b>可能<b class='flag-5'>不知道</b>的printf

    不知道的汽車蓄電池小知識(shí)

    哪些壞習(xí)慣會(huì)損壞蓄電池? 【知道不知道的蓄電池小知識(shí)】 ①:蓄電池使用兩年以上需注意檢查 一般汽車蓄電池的使用壽命為2-3年,新車的原裝蓄電池可以使用3年以上。所以如果
    的頭像 發(fā)表于 03-31 16:31 ?2498次閱讀
    <b class='flag-5'>你</b><b class='flag-5'>不知道</b>的汽車蓄電池小<b class='flag-5'>知識(shí)</b>

    如果不知道斜拉鏈機(jī)頭輪軸磨損怎么修,請(qǐng)看這里

    如果不知道斜拉鏈機(jī)頭輪軸磨損怎么修,請(qǐng)看這里
    發(fā)表于 06-16 14:55 ?1次下載

    盤點(diǎn)不知道的電纜套管知識(shí)-科蘭

    電纜套管又稱保護(hù)管、導(dǎo)管,是在電氣安裝中用于保護(hù)電線、電纜布線的管道,允許電線、電纜的穿入與更換。電纜套管是電力工程中推廣使用的一種新型套管材料。盤點(diǎn)不知道的電纜套管知識(shí),希望能夠得到幫助。 電纜
    的頭像 發(fā)表于 05-25 10:24 ?1591次閱讀

    不知道的FPC,它的發(fā)展史竟然是這樣的!

    不知道的FPC,它的發(fā)展史竟然是這樣的!
    的頭像 發(fā)表于 11-15 10:48 ?848次閱讀

    揭秘pcb是什么物質(zhì):不知道的“化學(xué)戰(zhàn)士”

    揭秘pcb是什么物質(zhì):不知道的“化學(xué)戰(zhàn)士”
    的頭像 發(fā)表于 12-14 10:27 ?788次閱讀

    輥壓機(jī)軸承位磨損修復(fù)不知道的那些事

    電子發(fā)燒友網(wǎng)站提供《輥壓機(jī)軸承位磨損修復(fù)不知道的那些事.docx》資料免費(fèi)下載
    發(fā)表于 03-12 15:10 ?0次下載