0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何用數(shù)碼管實現(xiàn)iphone4S開機密碼鎖的程序

j4AI_wujianying ? 來源:楊湘祁 ? 作者:電子發(fā)燒友 ? 2019-03-12 16:04 ? 次閱讀

這一節(jié)要教會大家四個知識點:第一個:類似手機上10秒鐘內(nèi)無按鍵操作將自動進入鎖屏的程序。第二個:如何用一個數(shù)組來接收按鍵的一串數(shù)字輸入。第三個:矩陣鍵盤中,數(shù)字按鍵的輸入,由于這部分按鍵的代碼相似度非常高,因此把它封裝在一個函數(shù)里可以非常簡潔方便。第四個:繼續(xù)加深熟悉鴻哥首次提出的“一二級菜單顯示理論”:凡是人機界面顯示,不管是數(shù)碼管還是液晶屏,都可以把顯示的內(nèi)容分成不同的窗口來顯示,每個顯示的窗口中又可以分成不同的局部顯示。其中窗口就是一級菜單,用ucWd變量表示。局部就是二級菜單,用ucPart來表示。不同的窗口,會有不同的更新顯示變量ucWdXUpdate來對應,表示整屏全部更新顯示。不同的局部,也會有不同的更新顯示變量ucWdXPartYUpdate來對應,表示局部更新顯示。具體內(nèi)容,請看源代碼講解。(1)硬件平臺:基于朱兆祺51單片機學習板。數(shù)字1鍵對應S1鍵,數(shù)字2鍵對應S2鍵,數(shù)字3鍵對應S3鍵…. 數(shù)字9鍵對應S9鍵, 數(shù)字0鍵對應S10鍵。其他的按鍵不用。(2)實現(xiàn)功能:本程序有3個窗口。開機顯示第1個密碼登錄框窗口“----”,在這個窗口下輸入密碼,如果密碼等于”9922”表示密碼正確,將會切換到第2個顯示按鍵值的窗口。在窗口2下,按不同的按鍵會顯示不同的按鍵值,如果10秒內(nèi)沒有按鍵操作,將會自動切換到第1個密碼登錄窗口,類似手機上的自動鎖屏操作。在密碼登錄窗口1下,如果密碼不正確,會自動清除密碼的數(shù)字,繼續(xù)在窗口1下顯示”----”。窗口3是用來停留0.5秒顯示全部密碼的信息,然后根據(jù)密碼的正確與否自動切換到對應的窗口。(3)源代碼講解如下:

#include "REG52.H"

#define const_no_key_push 4400 //大概10秒內(nèi)無按鍵按下的時間

#define const_0_1s 220 //大概0.5秒的時間

#define const_voice_short 40 //蜂鳴器短叫的持續(xù)時間

#define const_key_time 20 //按鍵去抖動延時的時間

void initial_myself();

void initial_peripheral();

void delay_short(unsigned int uiDelayShort);

void delay_long(unsigned int uiDelaylong);

//驅(qū)動數(shù)碼管的74HC595

void dig_hc595_drive(unsigned char ucDigStatusTemp16_09,unsigned char ucDigStatusTemp08_01);

void display_drive(); //顯示數(shù)碼管字模的驅(qū)動函數(shù)

void display_service(); //顯示的窗口菜單服務(wù)程序

//驅(qū)動LED的74HC595

void hc595_drive(unsigned char ucLedStatusTemp16_09,unsigned char ucLedStatusTemp08_01);

void T0_time(); //定時中斷函數(shù)

void number_key_input(unsigned char ucWhichKey); //由于數(shù)字按鍵的代碼相似度高,因此封裝在這個函數(shù)里

void key_service(); //按鍵服務(wù)的應用程序

void key_scan();//按鍵掃描函數(shù) 放在定時中斷里

sbit key_sr1=P0^0; //第一行輸入

sbit key_sr2=P0^1; //第二行輸入

sbit key_sr3=P0^2; //第三行輸入

sbit key_sr4=P0^3; //第四行輸入

sbit key_dr1=P0^4; //第一列輸出

sbit key_dr2=P0^5; //第二列輸出

sbit key_dr3=P0^6; //第三列輸出

sbit key_dr4=P0^7; //第四列輸出

sbit beep_dr=P2^7; //蜂鳴器的驅(qū)動IO口

sbit led_dr=P3^5; //作為中途暫停指示燈 亮的時候表示中途暫停

sbit dig_hc595_sh_dr=P2^0; //數(shù)碼管的74HC595程序

sbit dig_hc595_st_dr=P2^1;

sbit dig_hc595_ds_dr=P2^2;

sbit hc595_sh_dr=P2^3; //LED燈的74HC595程序

sbit hc595_st_dr=P2^4;

sbit hc595_ds_dr=P2^5;

unsigned char ucKeyStep=1; //按鍵掃描步驟變量

unsigned int uiKeyTimeCnt=0; //按鍵去抖動延時計數(shù)器

unsigned char ucKeyLock=0; //按鍵觸發(fā)后自鎖的變量標志

unsigned char ucRowRecord=1; //記錄當前掃描到第幾列了

unsigned char ucKeySec=0; //被觸發(fā)的按鍵編號

unsigned int uiVoiceCnt=0; //蜂鳴器鳴叫的持續(xù)時間計數(shù)器

unsigned char ucDigShow8; //第8位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow7; //第7位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow6; //第6位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow5; //第5位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow4; //第4位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow3; //第3位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow2; //第2位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigShow1; //第1位數(shù)碼管要顯示的內(nèi)容

unsigned char ucDigDot8; //數(shù)碼管8的小數(shù)點是否顯示的標志

unsigned char ucDigDot7; //數(shù)碼管7的小數(shù)點是否顯示的標志

unsigned char ucDigDot6; //數(shù)碼管6的小數(shù)點是否顯示的標志

unsigned char ucDigDot5; //數(shù)碼管5的小數(shù)點是否顯示的標志

unsigned char ucDigDot4; //數(shù)碼管4的小數(shù)點是否顯示的標志

unsigned char ucDigDot3; //數(shù)碼管3的小數(shù)點是否顯示的標志

unsigned char ucDigDot2; //數(shù)碼管2的小數(shù)點是否顯示的標志

unsigned char ucDigDot1; //數(shù)碼管1的小數(shù)點是否顯示的標志

unsigned char ucDigShowTemp=0; //臨時中間變量

unsigned char ucDisplayDriveStep=1; //動態(tài)掃描數(shù)碼管的步驟變量

unsigned char ucWd1Update=1; //窗口1更新顯示標志

unsigned char ucWd2Update=0; //窗口2更新顯示標志

unsigned char ucWd3Update=0; //窗口3更新顯示標志

unsigned char ucWd=1; //本程序的核心變量,窗口顯示變量。類似于一級菜單的變量。代表顯示不同的窗口。

unsigned char ucInputPassword[4]; //在第1個窗口下,顯示輸入的4個密碼

unsigned char ucPasswordCnt=0; //記錄當前已經(jīng)輸入到哪一位密碼了

unsigned char ucKeyNumber=1; //在第2個窗口下,顯示當前被按下的按鍵

unsigned int uiNoKeyPushTimer=const_no_key_push; //10秒內(nèi)無按鍵按下的計時器

unsigned int uiPasswordTimer=const_0_1s; //顯示0.5秒鐘全部密碼的計時器,讓窗口3停留顯示0.5秒鐘之后自動消失

unsigned char ucTemp1=0; //中間過渡變量

unsigned char ucTemp2=0; //中間過渡變量

unsigned char ucTemp3=0; //中間過渡變量

unsigned char ucTemp4=0; //中間過渡變量

//根據(jù)原理圖得出的共陰數(shù)碼管字模表

code unsigned char dig_table[]=

{

0x3f, //0 序號0

0x06, //1 序號1

0x5b, //2 序號2

0x4f, //3 序號3

0x66, //4 序號4

0x6d, //5 序號5

0x7d, //6 序號6

0x07, //7 序號7

0x7f, //8 序號8

0x6f, //9 序號9

0x00, //無 序號10

0x40, //- 序號11

0x73, //P 序號12

};

void main()

{

initial_myself();

delay_long(100);

initial_peripheral();

while(1)

{

key_service(); //按鍵服務(wù)的應用程序

display_service(); //顯示的窗口菜單服務(wù)程序

}

}

/* 注釋一:

*鴻哥首次提出的"一二級菜單顯示理論":

*凡是人機界面顯示,不管是數(shù)碼管還是液晶屏,都可以把顯示的內(nèi)容分成不同的窗口來顯示,

*每個顯示的窗口中又可以分成不同的局部顯示。其中窗口就是一級菜單,用ucWd變量表示。

*局部就是二級菜單,用ucPart來表示。不同的窗口,會有不同的更新顯示變量ucWdXUpdate來對應,

*表示整屏全部更新顯示。不同的局部,也會有不同的更新顯示變量ucWdXPartYUpdate來對應,表示局部更新顯示。

*/

void display_service() //顯示的窗口菜單服務(wù)程序

{

switch(ucWd) //本程序的核心變量,窗口顯示變量。類似于一級菜單的變量。代表顯示不同的窗口。

{

case 1: //顯示輸入密碼的登錄框

if(ucWd1Update==1) //窗口1要全部更新顯示

{

ucWd1Update=0; //及時清零標志,避免一直進來掃描

ucDigShow8=10; //第8位數(shù)碼管顯示無

ucDigShow7=10; //第7位數(shù)碼管顯示無

ucDigShow6=10; //第6位數(shù)碼管顯示無

ucDigShow5=10; //第5位數(shù)碼管顯示無

ucDigShow4=ucInputPassword[0]; //第4位數(shù)碼管顯示輸入的密碼

ucDigShow3=ucInputPassword[1]; //第3位數(shù)碼管顯示輸入的密碼

ucDigShow2=ucInputPassword[2]; //第2位數(shù)碼管顯示輸入的密碼

ucDigShow1=ucInputPassword[3]; //第1位數(shù)碼管顯示輸入的密碼

}

break;

case 2: //顯示被按下的鍵值

if(ucWd2Update==1) //窗口2要全部更新顯示

{

ucWd2Update=0; //及時清零標志,避免一直進來掃描

ucDigShow8=10; //第8位數(shù)碼管顯示無

ucDigShow7=10; //第7位數(shù)碼管顯示無

ucDigShow6=10; //第6位數(shù)碼管顯示無

ucDigShow5=10; //第5位數(shù)碼管顯示無

ucDigShow4=10; //第4位數(shù)碼管顯示無

ucDigShow3=10; //第3位數(shù)碼管顯示無

ucDigShow2=10; //第2位數(shù)碼管顯示無

ucDigShow1=ucKeyNumber; //第1位數(shù)碼管顯示被按下的鍵值

}

break;

case 3: //當輸入完4個密碼后,顯示1秒鐘的密碼登錄框,

if(ucWd3Update==1) //窗口3要全部更新顯示

{

ucWd3Update=0; //及時清零標志,避免一直進來掃描

ucDigShow8=10; //第8位數(shù)碼管顯示無

ucDigShow7=10; //第7位數(shù)碼管顯示無

ucDigShow6=10; //第6位數(shù)碼管顯示無

ucDigShow5=10; //第5位數(shù)碼管顯示無

ucDigShow4=ucInputPassword[0]; //第4位數(shù)碼管顯示輸入的密碼

ucDigShow3=ucInputPassword[1]; //第3位數(shù)碼管顯示輸入的密碼

ucDigShow2=ucInputPassword[2]; //第2位數(shù)碼管顯示輸入的密碼

ucDigShow1=ucInputPassword[3]; //第1位數(shù)碼管顯示輸入的密碼

}

break;

}

}

void key_scan()//按鍵掃描函數(shù) 放在定時中斷里

{

switch(ucKeyStep)

{

case 1: //按鍵掃描輸出第ucRowRecord列低電平

if(ucRowRecord==1) //第一列輸出低電平

{

key_dr1=0;

key_dr2=1;

key_dr3=1;

key_dr4=1;

}

else if(ucRowRecord==2) //第二列輸出低電平

{

key_dr1=1;

key_dr2=0;

key_dr3=1;

key_dr4=1;

}

else if(ucRowRecord==3) //第三列輸出低電平

{

key_dr1=1;

key_dr2=1;

key_dr3=0;

key_dr4=1;

}

else //第四列輸出低電平

{

key_dr1=1;

key_dr2=1;

key_dr3=1;

key_dr4=0;

}

uiKeyTimeCnt=0; //延時計數(shù)器清零

ucKeyStep++; //切換到下一個運行步驟

break;

case 2: //此處的小延時用來等待剛才列輸出信號穩(wěn)定,再判斷輸入信號。不是去抖動延時。

uiKeyTimeCnt++;

if(uiKeyTimeCnt>1)

{

uiKeyTimeCnt=0;

ucKeyStep++; //切換到下一個運行步驟

}

break;

case 3:

if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==1)

{

ucKeyStep=1; //如果沒有按鍵按下,返回到第一個運行步驟重新開始掃描

ucKeyLock=0; //按鍵自鎖標志清零

uiKeyTimeCnt=0; //按鍵去抖動延時計數(shù)器清零,此行非常巧妙

ucRowRecord++; //輸出下一列

if(ucRowRecord>4)

{

ucRowRecord=1; //依次輸出完四列之后,繼續(xù)從第一列開始輸出低電平

}

}

else if(ucKeyLock==0) //有按鍵按下,且是第一次觸發(fā)

{

if(key_sr1==0&&key_sr2==1&&key_sr3==1&&key_sr4==1)

{

uiKeyTimeCnt++; //去抖動延時計數(shù)器

if(uiKeyTimeCnt>const_key_time)

{

uiKeyTimeCnt=0;

ucKeyLock=1;//自鎖按鍵置位,避免一直觸發(fā),只有松開按鍵,此標志位才會被清零

if(ucRowRecord==1) //第一列輸出低電平

{

ucKeySec=1; //觸發(fā)1號鍵 對應朱兆祺學習板的S1鍵

}

else if(ucRowRecord==2) //第二列輸出低電平

{

ucKeySec=2; //觸發(fā)2號鍵 對應朱兆祺學習板的S2鍵

}

else if(ucRowRecord==3) //第三列輸出低電平

{

ucKeySec=3; //觸發(fā)3號鍵 對應朱兆祺學習板的S3鍵

}

else //第四列輸出低電平

{

ucKeySec=4; //觸發(fā)4號鍵 對應朱兆祺學習板的S4鍵

}

}

}

else if(key_sr1==1&&key_sr2==0&&key_sr3==1&&key_sr4==1)

{

uiKeyTimeCnt++; //去抖動延時計數(shù)器

if(uiKeyTimeCnt>const_key_time)

{

uiKeyTimeCnt=0;

ucKeyLock=1;//自鎖按鍵置位,避免一直觸發(fā),只有松開按鍵,此標志位才會被清零

if(ucRowRecord==1) //第一列輸出低電平

{

ucKeySec=5; //觸發(fā)5號鍵 對應朱兆祺學習板的S5鍵

}

else if(ucRowRecord==2) //第二列輸出低電平

{

ucKeySec=6; //觸發(fā)6號鍵 對應朱兆祺學習板的S6鍵

}

else if(ucRowRecord==3) //第三列輸出低電平

{

ucKeySec=7; //觸發(fā)7號鍵 對應朱兆祺學習板的S7鍵

}

else //第四列輸出低電平

{

ucKeySec=8; //觸發(fā)8號鍵 對應朱兆祺學習板的S8鍵

}

}

}

else if(key_sr1==1&&key_sr2==1&&key_sr3==0&&key_sr4==1)

{

uiKeyTimeCnt++; //去抖動延時計數(shù)器

if(uiKeyTimeCnt>const_key_time)

{

uiKeyTimeCnt=0;

ucKeyLock=1;//自鎖按鍵置位,避免一直觸發(fā),只有松開按鍵,此標志位才會被清零

if(ucRowRecord==1) //第一列輸出低電平

{

ucKeySec=9; //觸發(fā)9號鍵 對應朱兆祺學習板的S9鍵

}

else if(ucRowRecord==2) //第二列輸出低電平

{

ucKeySec=10; //觸發(fā)10號鍵 對應朱兆祺學習板的S10鍵

}

else if(ucRowRecord==3) //第三列輸出低電平

{

ucKeySec=11; //觸發(fā)11號鍵 對應朱兆祺學習板的S11鍵

}

else //第四列輸出低電平

{

ucKeySec=12; //觸發(fā)12號鍵 對應朱兆祺學習板的S12鍵

}

}

}

else if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==0)

{

uiKeyTimeCnt++; //去抖動延時計數(shù)器

if(uiKeyTimeCnt>const_key_time)

{

uiKeyTimeCnt=0;

ucKeyLock=1;//自鎖按鍵置位,避免一直觸發(fā),只有松開按鍵,此標志位才會被清零

if(ucRowRecord==1) //第一列輸出低電平

{

ucKeySec=13; //觸發(fā)13號鍵 對應朱兆祺學習板的S13鍵

}

else if(ucRowRecord==2) //第二列輸出低電平

{

ucKeySec=14; //觸發(fā)14號鍵 對應朱兆祺學習板的S14鍵

}

else if(ucRowRecord==3) //第三列輸出低電平

{

ucKeySec=15; //觸發(fā)15號鍵 對應朱兆祺學習板的S15鍵

}

else //第四列輸出低電平

{

ucKeySec=16; //觸發(fā)16號鍵 對應朱兆祺學習板的S16鍵

}

}

}

}

break;

}

}

void key_service() //第三區(qū) 按鍵服務(wù)的應用程序

{

switch(ucKeySec) //按鍵服務(wù)狀態(tài)切換

{

case 1:// 1號鍵 對應朱兆祺學習板的S1鍵

number_key_input(1); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 2:// 2號鍵 對應朱兆祺學習板的S2鍵

number_key_input(2); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 3:// 3號鍵 對應朱兆祺學習板的S3鍵

number_key_input(3); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 4:// 4號鍵 對應朱兆祺學習板的S4鍵

number_key_input(4); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 5:// 5號鍵 對應朱兆祺學習板的S5鍵

number_key_input(5); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 6:// 6號鍵 對應朱兆祺學習板的S6鍵

number_key_input(6); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 7:// 7號鍵 對應朱兆祺學習板的S7鍵

number_key_input(7); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 8:// 8號鍵 對應朱兆祺學習板的S8鍵

number_key_input(8); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 9:// 9號鍵 對應朱兆祺學習板的S9鍵

number_key_input(9); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 10:// 把這個按鍵專門用來輸入數(shù)字0 對應朱兆祺學習板的S10鍵

number_key_input(0); //由于數(shù)字按鍵的代碼相似度高,因此把具體代碼封裝在這個函數(shù)里

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 11:// 11號鍵 對應朱兆祺學習板的S11鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 12:// 12號鍵 對應朱兆祺學習板的S12鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 13:// 13號鍵 對應朱兆祺學習板的S13鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 14:// 14號鍵 對應朱兆祺學習板的S14鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 15:// 15號鍵 對應朱兆祺學習板的S15鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

case 16:// 16號鍵 對應朱兆祺學習板的S16鍵

uiVoiceCnt=const_voice_short; //按鍵聲音觸發(fā),滴一聲就停。

ucKeySec=0; //響應按鍵服務(wù)處理程序后,按鍵編號清零,避免一致觸發(fā)

break;

}

}

void number_key_input(unsigned char ucWhichKey) //由于數(shù)字按鍵的代碼相似度高,因此封裝在這個函數(shù)里

{

switch(ucWd)

{

case 1: //在顯示密碼登錄框的窗口下

ucInputPassword[ucPasswordCnt]=ucWhichKey; //輸入的密碼值顯示

ucPasswordCnt++;

if(ucPasswordCnt>=4)

{

ucPasswordCnt=0;

ucWd=3;//切換到第3個的窗口,停留顯示1秒鐘全部密碼

ucWd3Update=1; //更新顯示窗口3

uiPasswordTimer=const_0_1s; //顯示0.5秒鐘全部密碼的計時器,讓窗口3停留顯示0.5秒鐘之后自動消失

}

ucWd1Update=1; //更新顯示窗口1

uiNoKeyPushTimer=const_no_key_push; //10秒內(nèi)無按鍵按下的計時器賦新值

break;

case 2: //在顯示按鍵值的窗口下

ucKeyNumber=ucWhichKey; //輸入的按鍵數(shù)值顯示

ucWd2Update=1; //更新顯示窗口2

uiNoKeyPushTimer=const_no_key_push; //10秒內(nèi)無按鍵按下的計時器賦新值

break;

}

}

void display_drive()

{

//以下程序,如果加一些數(shù)組和移位的元素,還可以壓縮容量。但是鴻哥追求的不是容量,而是清晰的講解思路

switch(ucDisplayDriveStep)

{

case 1: //顯示第1位

ucDigShowTemp=dig_table[ucDigShow1];

if(ucDigDot1==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xfe);

break;

case 2: //顯示第2位

ucDigShowTemp=dig_table[ucDigShow2];

if(ucDigDot2==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xfd);

break;

case 3: //顯示第3位

ucDigShowTemp=dig_table[ucDigShow3];

if(ucDigDot3==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xfb);

break;

case 4: //顯示第4位

ucDigShowTemp=dig_table[ucDigShow4];

if(ucDigDot4==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xf7);

break;

case 5: //顯示第5位

ucDigShowTemp=dig_table[ucDigShow5];

if(ucDigDot5==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xef);

break;

case 6: //顯示第6位

ucDigShowTemp=dig_table[ucDigShow6];

if(ucDigDot6==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xdf);

break;

case 7: //顯示第7位

ucDigShowTemp=dig_table[ucDigShow7];

if(ucDigDot7==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0xbf);

break;

case 8: //顯示第8位

ucDigShowTemp=dig_table[ucDigShow8];

if(ucDigDot8==1)

{

ucDigShowTemp=ucDigShowTemp|0x80; //顯示小數(shù)點

}

dig_hc595_drive(ucDigShowTemp,0x7f);

break;

}

ucDisplayDriveStep++;

if(ucDisplayDriveStep>8) //掃描完8個數(shù)碼管后,重新從第一個開始掃描

{

ucDisplayDriveStep=1;

}

}

//數(shù)碼管的74HC595驅(qū)動函數(shù)

void dig_hc595_drive(unsigned char ucDigStatusTemp16_09,unsigned char ucDigStatusTemp08_01)

{

unsigned char i;

unsigned char ucTempData;

dig_hc595_sh_dr=0;

dig_hc595_st_dr=0;

ucTempData=ucDigStatusTemp16_09; //先送高8位

for(i=0;i<8;i++)

{

if(ucTempData>=0x80)dig_hc595_ds_dr=1;

else dig_hc595_ds_dr=0;

dig_hc595_sh_dr=0; //SH引腳的上升沿把數(shù)據(jù)送入寄存器

delay_short(1);

dig_hc595_sh_dr=1;

delay_short(1);

ucTempData=ucTempData<<1;

}

ucTempData=ucDigStatusTemp08_01; //再先送低8位

for(i=0;i<8;i++)

{

if(ucTempData>=0x80)dig_hc595_ds_dr=1;

else dig_hc595_ds_dr=0;

dig_hc595_sh_dr=0; //SH引腳的上升沿把數(shù)據(jù)送入寄存器

delay_short(1);

dig_hc595_sh_dr=1;

delay_short(1);

ucTempData=ucTempData<<1;

}

dig_hc595_st_dr=0; //ST引腳把兩個寄存器的數(shù)據(jù)更新輸出到74HC595的輸出引腳上并且鎖存起來

delay_short(1);

dig_hc595_st_dr=1;

delay_short(1);

dig_hc595_sh_dr=0; //拉低,抗干擾就增強

dig_hc595_st_dr=0;

dig_hc595_ds_dr=0;

}

//LED燈的74HC595驅(qū)動函數(shù)

void hc595_drive(unsigned char ucLedStatusTemp16_09,unsigned char ucLedStatusTemp08_01)

{

unsigned char i;

unsigned char ucTempData;

hc595_sh_dr=0;

hc595_st_dr=0;

ucTempData=ucLedStatusTemp16_09; //先送高8位

for(i=0;i<8;i++)

{

if(ucTempData>=0x80)hc595_ds_dr=1;

else hc595_ds_dr=0;

hc595_sh_dr=0; //SH引腳的上升沿把數(shù)據(jù)送入寄存器

delay_short(1);

hc595_sh_dr=1;

delay_short(1);

ucTempData=ucTempData<<1;

}

ucTempData=ucLedStatusTemp08_01; //再先送低8位

for(i=0;i<8;i++)

{

if(ucTempData>=0x80)hc595_ds_dr=1;

else hc595_ds_dr=0;

hc595_sh_dr=0; //SH引腳的上升沿把數(shù)據(jù)送入寄存器

delay_short(1);

hc595_sh_dr=1;

delay_short(1);

ucTempData=ucTempData<<1;

}

hc595_st_dr=0; //ST引腳把兩個寄存器的數(shù)據(jù)更新輸出到74HC595的輸出引腳上并且鎖存起來

delay_short(1);

hc595_st_dr=1;

delay_short(1);

hc595_sh_dr=0; //拉低,抗干擾就增強

hc595_st_dr=0;

hc595_ds_dr=0;

}

void T0_time() interrupt 1

{

unsigned int i;

TF0=0; //清除中斷標志

TR0=0; //關(guān)中斷

if(ucWd==3) //在窗口3下

{

if(uiPasswordTimer>0)

{

uiPasswordTimer--;

}

if(uiPasswordTimer==0)

{

if(ucInputPassword[0]==9&&ucInputPassword[1]==9&&ucInputPassword[2]==2&&ucInputPassword[3]==2)

{ //如果密碼等于9922,則正確

ucWd=2;//切換到第2個顯示按鍵的窗口

ucWd2Update=1; //更新顯示窗口2

}

else //如果密碼不正確,則繼續(xù)顯示----

{

for(i=0;i<4;i++)

{

ucInputPassword[i]=11; //開機默認密碼全部顯示"----"

}

ucWd=1;

ucWd1Update=1; //更新顯示窗口1

}

}

}

if(ucWd==2) //在窗口2下

{

if(uiNoKeyPushTimer>0)

{

uiNoKeyPushTimer--;

}

if(uiNoKeyPushTimer==0)//如果10秒內(nèi)無按鍵按下,則自動切換到顯示密碼登錄框的界面

{

for(i=0;i<4;i++)

{

ucInputPassword[i]=11; //開機默認密碼全部顯示"----"

}

ucWd=1;

ucWd1Update=1; //更新顯示窗口1

}

}

key_scan(); //按鍵掃描函數(shù)

if(uiVoiceCnt!=0)

{

uiVoiceCnt--; //每次進入定時中斷都自減1,直到等于零為止。才停止鳴叫

beep_dr=0; //蜂鳴器是PNP三極管控制,低電平就開始鳴叫。

// beep_dr=1; //蜂鳴器是PNP三極管控制,低電平就開始鳴叫。

}

else

{

; //此處多加一個空指令,想維持跟if括號語句的數(shù)量對稱,都是兩條指令。不加也可以。

beep_dr=1; //蜂鳴器是PNP三極管控制,高電平就停止鳴叫。

// beep_dr=0; //蜂鳴器是PNP三極管控制,高電平就停止鳴叫。

}

display_drive(); //數(shù)碼管字模的驅(qū)動函數(shù)

TH0=0xfe; //重裝初始值(65535-500)=65035=0xfe0b

TL0=0x0b;

TR0=1; //開中斷

}

void delay_short(unsigned int uiDelayShort)

{

unsigned int i;

for(i=0;i

{

; //一個分號相當于執(zhí)行一條空語句

}

}

void delay_long(unsigned int uiDelayLong)

{

unsigned int i;

unsigned int j;

for(i=0;i

{

for(j=0;j<500;j++)? //內(nèi)嵌循環(huán)的空指令數(shù)量

{

; //一個分號相當于執(zhí)行一條空語句

}

}

}

void initial_myself() //第一區(qū) 初始化單片機

{

led_dr=0; //關(guān)閉獨立LED燈

beep_dr=1; //用PNP三極管控制蜂鳴器,輸出高電平時不叫。

hc595_drive(0x00,0x00); //關(guān)閉所有經(jīng)過另外兩個74HC595驅(qū)動的LED燈

TMOD=0x01; //設(shè)置定時器0為工作方式1

TH0=0xfe; //重裝初始值(65535-500)=65035=0xfe0b

TL0=0x0b;

}

void initial_peripheral() //第二區(qū) 初始化外圍

{

unsigned int i; //個人的變量命名習慣,i,j,k等單個字母的變量名只用在for循環(huán)里

for(i=0;i<4;i++)

{

ucInputPassword[i]=11; //開機默認密碼全部顯示"----"

}

ucDigDot8=0; //小數(shù)點全部不顯示

ucDigDot7=0;

ucDigDot6=0;

ucDigDot5=0;

ucDigDot4=0;

ucDigDot3=0;

ucDigDot2=0;

ucDigDot1=0;

EA=1; //開總中斷

ET0=1; //允許定時中斷

TR0=1; //啟動定時中斷

}

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • iPhone
    +關(guān)注

    關(guān)注

    28

    文章

    13386

    瀏覽量

    200868
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    31

    文章

    1869

    瀏覽量

    90518

原文標題:在數(shù)碼管中實現(xiàn)iphone4S開機密碼鎖的程序

文章出處:【微信號:wujianying_danpianji,微信公眾號:單片機精講吳鑒鷹】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    4×4鍵盤及8位數(shù)碼管顯示構(gòu)成的電子密碼鎖

    4×4鍵盤及8位數(shù)碼管顯示構(gòu)成的電子密碼鎖
    發(fā)表于 08-20 19:41

    基于單片機的電子密碼鎖

    功能簡述:電子密碼鎖具有防盜報警功能,它克服了機械式密碼鎖密碼量少、安全性能差的缺點。用戶可以設(shè)置、修改密碼,若三次輸入密碼不正確會發(fā)出報警
    發(fā)表于 05-09 21:47

    基于單片機的電子密碼鎖

    功能簡述:電子密碼鎖具有防盜報警功能,它克服了機械式密碼鎖密碼量少、安全性能差的缺點。用戶可以設(shè)置、修改密碼,若三次輸入密碼不正確會發(fā)出報警
    發(fā)表于 05-09 21:50

    基于單片機的電子密碼鎖設(shè)計

    功能簡述:電子密碼鎖具有防盜報警功能,它克服了機械式密碼鎖密碼量少、安全性能差的缺點。用戶可以設(shè)置、修改密碼,若三次輸入密碼不正確會發(fā)出報警
    發(fā)表于 05-09 22:09

    基于at89c52設(shè)計的電子密碼鎖程序,由數(shù)碼管顯示

    基于at89c51設(shè)計的密碼鎖程序,由矩陣按鍵實現(xiàn)數(shù)據(jù)指令的輸入,并由數(shù)碼管顯示,
    發(fā)表于 08-06 22:00

    單片機密碼鎖

    我想在這個論壇上問問,想學習一下:就是我想實現(xiàn)四位的密碼鎖,但是之前在網(wǎng)上看了看,編寫的程序都是像銀行ATM機上實現(xiàn)密碼功能,但是我現(xiàn)在的
    發(fā)表于 11-03 16:35

    電子密碼鎖的設(shè)計與實現(xiàn)

    電子密碼鎖的設(shè)計與實現(xiàn)一、實驗目的1.進一步掌握鍵盤掃描和LED顯示的程序設(shè)計。2.了解按鍵消抖的方法。3.綜合運用微機原理的軟硬件知識。二、實驗內(nèi)容與要求1.基本要求(1)具有密碼
    發(fā)表于 11-10 11:43

    開機密碼鎖

    基于普中開發(fā)板設(shè)計的開機密碼鎖,功能如下:上電顯示----,密碼輸入正確,停留0.5秒然后顯示按鍵值,10秒沒有操作或者密碼輸入錯誤都返回----,適合想把數(shù)碼管和按鍵結(jié)合起來的學習,
    發(fā)表于 11-29 14:36

    基于51單片機密碼鎖設(shè)計相關(guān)資料推薦

    將鏈接復制至IE瀏覽器打開?。?!功能操作說明:本設(shè)計為4*4矩陣按鍵輸入,顯示為共陰數(shù)碼管的簡易電子密碼鎖。按鍵為下列布局1,2,3,a4,5,6,b7,8,9,c*,0,#,d第一上
    發(fā)表于 11-10 06:59

    密碼鎖的工作使用流程

    密碼鎖:功能介紹:1.可設(shè)置1-4密碼2.密碼輸入顯示在數(shù)碼管上3.密碼驗證結(jié)果顯示在
    發(fā)表于 01-17 06:52

    索愛手機密碼鎖

    索愛手機密碼鎖
    發(fā)表于 02-25 09:54 ?2797次閱讀

    單片機密碼鎖

    單片機密碼鎖PCB以及原理圖,感興趣的可以看看。
    發(fā)表于 01-20 16:04 ?17次下載

    使用51單片機實現(xiàn)數(shù)碼管顯示4密碼鎖的設(shè)計論文

    與數(shù)據(jù)存儲器單元,結(jié)合外圍的鍵盤輸入、數(shù)碼管顯示、報警、開鎖等電路模塊。它能完成以下功能:正確輸入密碼前提下,開鎖,數(shù)碼管顯示“ open”;錯誤輸入密碼情況下,報警,
    發(fā)表于 06-16 17:40 ?33次下載
    使用51單片機<b class='flag-5'>實現(xiàn)</b><b class='flag-5'>數(shù)碼管</b>顯示<b class='flag-5'>4</b>位<b class='flag-5'>密碼鎖</b>的設(shè)計論文

    51單片機密碼鎖案例

    51單片機密碼鎖案例免費下載。
    發(fā)表于 04-27 15:58 ?55次下載

    基于51單片機密碼鎖數(shù)碼管顯示設(shè)計

    本資源內(nèi)容概要:? ? ? ?這是基于51單片機密碼鎖數(shù)碼管顯示設(shè)計包含了電路圖源文件(Altiumdesigner軟件打開)、C語言程序源代碼(keil軟件打開)、元件清單(excel表格打開
    發(fā)表于 06-25 10:05 ?0次下載