0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

中國芯片的產(chǎn)業(yè)深度分析一文看懂國產(chǎn)芯片現(xiàn)狀

8Upu_Interflow ? 來源:未知 ? 2019-05-26 11:40 ? 次閱讀

周期性波動向上,市場規(guī)模超4000億美元

半導體電子產(chǎn)品的核心,信息產(chǎn)業(yè)的基石。半導體行業(yè)因具有下游應用廣泛、生產(chǎn)技術工序多、產(chǎn)品種類多、技術更新?lián)Q代快、投資高風險大等特點,產(chǎn)業(yè)鏈從集成化到垂直化分工越來越明確,并經(jīng)歷了兩次空間上的產(chǎn)業(yè)轉移。全球半導體行業(yè)大致以4-6年為一個周期,景氣周期與宏觀經(jīng)濟、下游應用需求以及自身產(chǎn)能庫存等因素密切相關。2017半導體產(chǎn)業(yè)市場規(guī)模突破4000億美元,存儲芯片是主要動力。

供需變化漲價蔓延,創(chuàng)新應用驅動景氣周期持續(xù)

半導體本輪漲價的根本原因為供需變化,并沿產(chǎn)業(yè)鏈傳導,漲價是否持續(xù)還是看供需,NAND隨著產(chǎn)能釋放價格有所降低,DRAM、硅片產(chǎn)能仍吃緊漲價有望持續(xù)。展望未來,隨著物聯(lián)網(wǎng)、區(qū)塊鏈、汽車電子、5G、AR/VRAI等多項創(chuàng)新應用發(fā)展,半導體行業(yè)有望保持高景氣度。

提高自給率迫在眉睫,大國戰(zhàn)略推動產(chǎn)業(yè)發(fā)展

國內(nèi)半導體市場接近全球的三分之一,但國內(nèi)半導體自給率水平非常低,特別是核心芯片極度缺乏,國產(chǎn)占有率都幾乎為零。芯片關乎到國家安全,國產(chǎn)化迫在眉睫。2014年《國家集成電路產(chǎn)業(yè)發(fā)展推進綱要》將半導體產(chǎn)業(yè)新技術研發(fā)提升至國家戰(zhàn)略高度。大基金首期投資成果顯著,撬動了地方產(chǎn)業(yè)基金達5000億元,目前大基金二期募資已經(jīng)啟動,募集金額將超過一期,推動國內(nèi)半導體產(chǎn)業(yè)發(fā)展。

大陸設計制造封測崛起,材料設備重點突破

經(jīng)過多年的發(fā)展,國內(nèi)半導體生態(tài)逐漸建成,設計制造封測三業(yè)發(fā)展日趨均衡。設計業(yè):雖然收購受限,但自主發(fā)展迅速,群雄并起,海思展訊進入全球前十。制造業(yè):晶圓制造產(chǎn)業(yè)向大陸轉移,大陸12寸晶圓廠產(chǎn)能爆發(fā)。代工方面,雖然與國際巨頭相比,追趕仍需較長時間,但中芯國際28nm制程已突破,14nm加快研發(fā)中;存儲方面,長江存儲、晉華集成、合肥長鑫三大存儲項目穩(wěn)步推進。封測業(yè):國內(nèi)封測三強進入第一梯隊,搶先布局先進封裝。設備:國產(chǎn)半導體設備銷售快速穩(wěn)步增長,多種產(chǎn)品實現(xiàn)從無到有的突破,星星之火等待燎原。材料:國內(nèi)廠商在小尺寸硅片、光刻膠、CMP材料、濺射靶材等領域已初有成效;大尺寸硅片國產(chǎn)化指日可待。

1、周期性波動向上,市場規(guī)模超4000億美元

1.1、半導體是電子產(chǎn)品的核心,信息產(chǎn)業(yè)的基石

晶體管誕生,再到集成電路

計算機的基礎是1和0,有了1和0,就像數(shù)學有了10個數(shù)字,語言有了26個字母,人類基因有了AGCT,通過編碼和邏輯運算等便可以表示世間萬物。1946年的第一臺計算機是通過真空管實現(xiàn)了1和0,共使用了18800個真空管,大約是一間半的教室大,六只大象重。

通過在半導體材料里摻入不同元素,1947年在美國貝爾實驗室制造出全球第一個晶體管。晶體管同樣可以實現(xiàn)真空管的功能,且體積比電子管縮小了許多,用電子管做的有幾間屋子大的計算機,用晶體管已縮小為幾個機柜了。

把一個電路中所需的晶體管、電阻、電容和電感等元件及布線互連一起,制作在一小塊或幾小塊半導體晶片或介質基片上,然后封裝在一個管殼內(nèi),成為具有所需電路功能的微型結構,這便是集成電路,也叫做芯片和IC。集成電路中所有元件在結構上已組成一個整體,使電子元件向著微小型化、低功耗、智能化和高可靠性方面邁進了一大步。

集成電路發(fā)明者為杰克·基爾比(基于鍺(Ge)的集成電路)和羅伯特·諾伊思(基于硅(Si)的集成電路)。當今半導體工業(yè)大多數(shù)應用的是基于硅的集成電路。

1965年,戈登·摩爾(GordonMoore)預測未來一個芯片上的晶體管數(shù)量大約每18個月翻一倍(至今依然基本適用),這便是著名的摩爾定律誕生。1968年7月,羅伯特·諾伊斯和戈登·摩爾從仙童(Fairchild)半導體公司辭職,創(chuàng)立了一個新的企業(yè),即英特爾公司,英文名Intel為“集成電子設備(integratedelectronics)”的縮寫。

電子產(chǎn)品的核心,信息產(chǎn)業(yè)的基石

智能手機為例,諸如驍龍、麒麟、蘋果A系列CPU為微元件,手機基帶芯片和射頻芯片是邏輯IC;通常所說的2G或者4G運行內(nèi)存RAM為DRAM,16G或者64G存儲空間為NANDflash;音視頻多媒體芯片為模擬IC。以上這些統(tǒng)統(tǒng)是屬于半導體的范疇。

半導體位于電子行業(yè)的中游,上游是電子材料和設備。半導體和被動元件以及模組器件通過集成電路板連接,構成了智能手機、PC等電子產(chǎn)品的核心部件,承擔信息的載體和傳輸功能,成為信息化社會的基石。

半導體主要分為集成電路和半導體分立器件。半導體分立器件包括半導體二極管、三極管等分立器件以及光電子器件和傳感器等。

集成電路可分為數(shù)字電路、模擬電路。一切的感知:圖像,聲音,觸感,溫度,濕度等等都可以歸到模擬世界當中。很自然的,工作內(nèi)容與之相關的芯片被稱作模擬芯片。除此之外,一些我們無法感知,但客觀存在的模擬信號處理芯片,比如微波,電信號處理芯片等等,也被歸類到模擬范疇之中。比較經(jīng)典的模擬電路有射頻芯片、指紋識別芯片以及電源管理芯片等。數(shù)字芯片包含微元件(CPU、GPUMCU、DSP等),存儲器(DRAM、NANDFlash、NORFlash)和邏輯IC(手機基帶、以太網(wǎng)芯片等)。

1.2、集成電路工序多、種類多、換代快、投資大

簡單的講,電子制造產(chǎn)業(yè)包括:原材料砂子-硅片制造-晶圓制造-封裝測試-基板互聯(lián)-儀器設備組裝。集成電路產(chǎn)業(yè)鏈主要為設計、制造、封測以及上游的材料和設備。

集成電路產(chǎn)業(yè)主要有以下特征:制造工序多、產(chǎn)品種類多、技術換代快、投資大風險高。

生產(chǎn)工序多:核心產(chǎn)業(yè)鏈流程可以簡單描述為:IC設計公司根據(jù)下游戶(系統(tǒng)廠商)的需求設計芯片,然后交給晶圓代工廠進行制造,這些IC制造公司主要的任務就是把IC設計公司設計好的電路圖移植到硅晶圓制造公司制造好的晶圓上。完成后的晶圓再送往下游的IC封測廠,由封裝測試廠進行封裝測試,最后將性能良好的IC產(chǎn)品出售給系統(tǒng)廠商。

具體來說,可以細分為以下環(huán)節(jié):

>IC設計:根據(jù)客戶要求設計芯片

IC設計可分成幾個步驟,依序為:規(guī)格制定→邏輯設計→電路布局→布局后模擬→光罩制作。規(guī)格制定:品牌廠或白牌廠的工程師和IC設計工程師接觸,提出要求;邏輯設計:IC設計工程師完成邏輯設計圖;電路布局:將邏輯設計圖轉化成電路圖;布局后模擬:經(jīng)由軟件測試,看是否符合規(guī)格制定要求;光罩制作:將電路制作成一片片的光罩,完成后的光罩即送往IC制造公司。

>IC制造:將光罩上的電路圖轉移到晶圓上

IC制造的流程較為復雜,過程與傳統(tǒng)相片的制造過程有一定相似主要步驟包括:薄膜→光刻→顯影→蝕刻→光阻去除。薄膜制備:在晶圓片表面上生長數(shù)層材質不同,厚度不同的薄膜;光刻:將掩膜板上的圖形復制到硅片上。光刻的成本約為整個硅片制造工藝的1/3,耗費時間約占整個硅片工藝的40~60%;

>IC封測:封裝和測試

封裝的流程大致如下:切割→黏貼→切割焊接→模封。切割:將IC制造公司生產(chǎn)的晶圓切割成長方形的IC;黏貼:把IC黏貼到PCB上;焊接:將IC的接腳焊接到PCB上,使其與PCB相容;模封:將接腳模封起來;

產(chǎn)品種類多。從技術復雜度和應用廣度來看,集成電路主要可以分為高端通用和專用集成電路兩大類。高端通用集成電路的技術復雜度高、標準統(tǒng)一、通用性強,具有量大面廣的特征。它主要包括處理器、存儲器,以及FPGA(現(xiàn)場可編程門陣列)、AD/DA(模數(shù)/數(shù)模轉換)等。專用集成電路是針對特定系統(tǒng)需求設計的集成電路,通用性不強。每種專用集成電路都屬于一類細分市場,例如,通信設備需要高頻大容量數(shù)據(jù)交換芯片等專用芯片;汽車電子需要輔助駕駛系統(tǒng)芯片、視覺傳感和圖像處理芯片,以及未來的無人駕駛芯片等。

技術更新?lián)Q代快。根據(jù)摩爾定律:當價格不變時,集成電路上可容納的元器件數(shù)目,約每隔18-24個月便會增加一倍,性能也將提升一倍,從而要求集成電路尺寸不斷變小。

芯片的制程就是用來表征集成電路尺寸的大小的一個參數(shù),隨著摩爾定律發(fā)展,制程從0.5微米、0.35微米、0.25微米、0.18微米、0.15微米、0.13微米、90納米、65納米、45納米、32納米、28納米、22納米、14納米,一直發(fā)展到現(xiàn)在的10納米、7納米、5納米。目前,28nm是傳統(tǒng)制程和先進制程的分界點。

以臺積電為例,晶圓制造的制程每隔幾年便會更新?lián)Q代一次。近幾年來換代周期縮短,臺積電2017年10nm已經(jīng)量產(chǎn),7nm將于今年量產(chǎn)。蘋果iPhoneX用的便是臺積電10nm工藝。除了晶圓制造技術更新?lián)Q代外,其下游的封測技術也不斷隨之發(fā)展。

除了制程,建設晶圓制造產(chǎn)線還需要事先確定一個參數(shù),即所需用的硅片尺寸。硅片根據(jù)其直徑分為6寸(150mm)、8寸(200mm)、12寸(300mm)等類型,目前高端市場12寸為主流,中低端市場則一般采用8寸。晶圓制造產(chǎn)線的制程和硅片尺寸這兩個參數(shù)一旦確定下來一般無法更改,因為如果要改建,則投資規(guī)模相當于新建一條產(chǎn)線。

投資大風險高。根據(jù)《集成電路設計業(yè)的發(fā)展思路和政策建議》,通常情況下,一款28nm芯片設計的研發(fā)投入約1億元~2億元,14nm芯片約2億元~3億元,研發(fā)周期約1~2年。對比來看,集成電路設計門檻顯著高于互聯(lián)網(wǎng)產(chǎn)品研發(fā)門檻?;ヂ?lián)網(wǎng)創(chuàng)業(yè)企業(yè)的A輪融資金額多在幾百萬元量級,集成電路的設計成本要達到億元量級。但是,相比集成電路制造,設計的進入門檻又很低,一條28nm工藝集成電路生產(chǎn)線的投資額約50億美元,20nm工藝生產(chǎn)線高達100億美元。

集成電路設計存在技術和市場兩方面的不確定性。一是流片失敗的技術風險,即芯片樣品無法通過測試或達不到預期性能。對于產(chǎn)品線尚不豐富的初創(chuàng)設計企業(yè),一顆芯片流片失敗就可能導致企業(yè)破產(chǎn)。二是市場風險,芯片雖然生產(chǎn)出來,但沒有猜對市場需求,銷量達不到盈虧平衡點。對于獨立的集成電路設計企業(yè)而言,市場風險比技術風險更大。對于依托整機系統(tǒng)企業(yè)的集成電路設計企業(yè)而言,芯片設計的需求相對明確,市場風險相對較小。

1.3、全球半導體產(chǎn)業(yè)轉移與產(chǎn)業(yè)鏈變遷

半導體行業(yè)因具有下游應用廣泛,生產(chǎn)技術工序多、產(chǎn)品種類多、技術更新?lián)Q代快、投資高風險大等特點,疊加下游應用市場的不斷興起,半導體產(chǎn)業(yè)鏈從集成化到垂直化分工越來越明確,并經(jīng)歷了兩次空間上的產(chǎn)業(yè)轉移。

1.起源,美國,垂直整合模式

1950s,半導體行業(yè)于起源于美國,主要由系統(tǒng)廠商主導。全球半導體產(chǎn)業(yè)的最初形態(tài)為垂直整合的運營模式,即企業(yè)內(nèi)設有半導體產(chǎn)業(yè)所有的制造部門,僅用于滿足企業(yè)自身產(chǎn)品的需求。

2.家電,美國→日本,IDM模式

1970s,美國將裝配產(chǎn)業(yè)轉移到日本,半導體產(chǎn)業(yè)轉變?yōu)镮DM(IntegratedDeviceManufacture,集成器件制造)模式,即負責從設計、制造到封裝測試所有的流程。與垂直整合模式不同,IDM企業(yè)的芯片產(chǎn)品是為了滿足其他系統(tǒng)廠商的需求。隨著家電產(chǎn)業(yè)與半導體產(chǎn)業(yè)相互促進發(fā)展,日本孵化了索尼、東芝等廠商。我國大部分分立器件生產(chǎn)企業(yè)也采用該類模式。

3.PC,美日→韓國、***地區(qū),代工模式

1990s,隨著PC興起,存儲產(chǎn)業(yè)從美國轉向日本后又開始轉向了韓國,孕育出三星、海力士等廠商。同時,***積體電路公司成立后,開啟了晶圓代工(Foundry)模式,解決了要想設計芯片必須巨額投資晶圓制造產(chǎn)線的問題,拉開了垂直代工的序幕,無產(chǎn)線的設計公司(Fabless)紛紛成立,傳統(tǒng)IDM廠商英特爾、三星等紛紛加入晶圓代工行列,垂直分工模式逐漸成為主流,形成設計(Fabless)→制造(Foundry)→封測(OSAT)三大環(huán)節(jié)。

4.智能手機,全球--->中國大陸

2010s,隨著大陸智能手機品牌全球市場份額持續(xù)提升,催生了對半導體的強勁需求,加之國家對半導體行業(yè)的大力支持以及人才、技術、資本的產(chǎn)業(yè)環(huán)境不斷成熟,全球半導體產(chǎn)業(yè)醞釀第三次產(chǎn)業(yè)轉移,即向大陸轉移趨勢逐漸顯現(xiàn)。

人力成本是產(chǎn)業(yè)鏈變遷和轉移的重要動力

韓國和***地區(qū)的集成電路產(chǎn)業(yè)均從代工開始,代工選擇的主要因素便是人力成本,當時韓國和***地區(qū)的人力成本相比于日本低很多,封測業(yè)便開始從日本轉移到韓國、***地區(qū)。同樣由于人力成本的優(yōu)勢,在21世紀初,封測業(yè)已經(jīng)向國內(nèi)轉移,可以說已經(jīng)完成了當年韓國、***地區(qū)的發(fā)展初期階段。勞動力密集型的IC封測業(yè)最先轉移;而技術和資金密集型的IC制造業(yè)次之,轉移后會相差1-2代技術;知識密集型的IC設計一般很難轉移,技術差距顯著,需要靠自主發(fā)展。

1.4、4-6年周期性波動向上,突破4000億美元

4-6年為1個周期性波動向上

費城半導體指數(shù)(SOX)由費城交易所創(chuàng)立于1993年,有20家企業(yè)的股票被列入該指數(shù),為全球半導體業(yè)景氣主要指標之一,其走勢與全球半導體銷售額的走勢基本相同。

根據(jù)世界半導體貿(mào)易統(tǒng)計組織(WSTS)數(shù)據(jù)披露,全球半導體銷售額于1994年突破1000億美元,2000年突破2000億美元,2010年將近3000億美元,預計2017年將會突破4000億美元,半導體產(chǎn)業(yè)規(guī)模不斷擴大,逐漸成為一個超級巨無霸的行業(yè)。

從全球半導體銷售額同比增速上看,全球半導體行業(yè)大致以4-6年為一個周期,景氣周期與宏觀經(jīng)濟、下游應用需求以及自身產(chǎn)能庫存等因素密切相關。

2017突破4000億美元,存儲芯片是主要動力

據(jù)WSTS數(shù)據(jù),2017年世界半導體市場規(guī)模為4086.91億美元,同比增長20.6%,首破4000億美元大關,創(chuàng)七年以來(2010年為年增31.8%)的新高。

其中,集成電路產(chǎn)品市場銷售額為3401.89億美元,同比增長22.9%,大出業(yè)界意料之外,占到全球半導體市場總值的83.2%的份額。存儲器電路(Memory)產(chǎn)品市場銷售額為1229.18億美元,同比增長60.1%,占到全球半導體市場總值的30.1%,超越歷年占比最大的邏輯電路(1014.13億美元),也印證了業(yè)界所謂的存儲器是集成電路產(chǎn)業(yè)的溫度計和風向標之說。

半導體分立器件(D-O-S)方面,市場為685.02億美元,同比增長10.1%,占到全球半導體市場總值的16.8%,主要得益于功率器件等推動分立器件(DS)市場銷售額同比增長10.7%以及MEMS、射頻器件、汽車電子、AI等推動傳感器市場(Sensors)銷售額同比增長15.9%。

據(jù)ICInsights報道,DRAM2017年平均售價(ASP)同比上漲77%,銷售總值達720億美元,同比增長74%;NANDFlash2017年平均售價(ASP)同比上漲38%,銷售總額達498億美元,同比增長44%,NORFlash為43億美元,導致全球存儲器總體市場上揚增長58%。如若扣除存儲器售價上揚的13%,則2017年全球半導體市場同比增長率僅為9%的水平。依靠DRAM和NAND閃存的出色表現(xiàn),三星半導體在2017年第二季度超越英特爾,終結英特爾20多年雄踞半導體龍頭位置的記錄。

從區(qū)域上看,WSTS數(shù)據(jù)顯示北美(美國)地區(qū)市場銷售額為864.58億美元,同比增長31.9%,增幅提升36.6%,居全球首位,占到全球市場的21.2%的份額,起到較大的推動作用。其他地區(qū)(主要為中國)銷售額為2478.34億美元,同比增長18.9%,占到全球市場總值的60.6%。

半導體帶動上游設備創(chuàng)歷史新高。據(jù)SEMI預測,2017年半導體設備的銷售額為559億美元,比2016年增長35.6%。2018年,半導體設備的銷售額達到601億美元,比2017年增長7.5%。

2、供需變化漲價蔓延,創(chuàng)新應用驅動景氣周期持續(xù)

2.1、供需變化沿產(chǎn)業(yè)鏈傳導,漲價持續(xù)蔓延擴展

本輪漲價的根本原因為供需反轉,并沿產(chǎn)業(yè)鏈傳導,從存儲器中DRAM和NAND供不應求漲價導致上游12寸硅片供不應求漲價,12寸晶圓代工廠漲價,NOR漲價,12寸硅片不足用8寸硅片代替,導致8寸硅片漲價,8寸晶圓代工廠漲價,傳導下游電源管理IC、LCD/LED驅動IC、MCU、功率半導體MOSFET等漲價,漲價持續(xù)蔓延。此外,2017Q4加密幣挖礦芯片半路殺出搶12寸晶圓先進制程產(chǎn)能。

2.1.1、存儲器:供不應求漲價開始,是否持續(xù)還是看供需

存儲器主要包括DRAM、NANDFlash和NORFlash。其中DRAM約占存儲器市場53%,NANDFlash約占存儲器市場42%,而NORFlash僅占3%左右。DRAM即通常所說的運行內(nèi)存,根據(jù)下游需求不同主要分為:標準型(PC)、服務器(Server)、移動式(mobile)、繪圖用(Graphic)和消費電子類(Consumer)。NANDFlash即通常所說的閃存,根據(jù)下游需求不同主要分為:存儲卡/UFD、SSD嵌入式存儲和其他。

存儲器的漲價由供不應求開始,是否持續(xù)還得看供需。

DRAM

需求端:下游智能手機運行內(nèi)存不斷從1G到2G、3G、4G升級導致移動式DRAM快速需求增長,同時APP應用市場快速發(fā)展導致服務器內(nèi)存需求增長。

供給端:DRAM主要掌握在三星、海力士、美光等幾家手中,呈現(xiàn)寡頭壟斷格局,三星市占率約為45%。2016年Q3之前,DRAM價格一路走低,所有DRAM廠商都不敢貿(mào)然擴產(chǎn)。供不應求導致DRAM價格從2016年Q2/Q3開始一路飆升,DXI指數(shù)從6000點上漲到如今的30000點。DXI指數(shù)是集邦咨詢于2013年創(chuàng)建反映主流DRAM價格的指數(shù)。

展望2018年上半年,因DRAM三大廠產(chǎn)能計劃趨于保守,2018年新增投片量僅約5-7%,實質新產(chǎn)能開出將落于下半年,導致上半年供給仍然受限,整體市場仍然吃緊;SK海力士決議在無錫興建新廠,最快產(chǎn)能開出時間落在2019年,我們預計在2018年上半年服務器內(nèi)存價格仍然會延續(xù)漲價的走勢。

2018Q1移動式內(nèi)存價格可能會有較明顯影響。在大陸智能手機出貨疲弱的大環(huán)境影響下,雖然整體DRAM仍呈現(xiàn)供貨吃緊的狀態(tài),但以三星為首率先調整對大陸智能手機廠商的報價,移動式內(nèi)存的漲幅已較先前收斂,從原先的5%的季成長縮小為約3%。

NANDFlash

需求端:下游智能手機閃存存不斷從16G到32G、64G、128G甚至256G升級導致嵌入式存儲快速需求增長,同時隨著SSD在PC中滲透率提升導致SSD需求快速增長。

供給端:2016和2017年為NANDFlash從2D到3DNAND制程轉化年,產(chǎn)能存在逐漸釋放的過程,主要廠商有三星、東芝、美光和海力士,三星同樣是產(chǎn)業(yè)龍頭,市占率約為37%。

展望未來,智能手機銷售增速疲軟,2018年上半年NAND需求恐不如預期,隨著3D產(chǎn)能不斷開出,市況將轉變成供過于求,導致NANDFlash價格持續(xù)走跌的機率升高。

NORFlash

雖然NORFLASH市場份額較小,但是由于代碼可在芯片內(nèi)執(zhí)行,仍然常常用于存儲啟動代碼和設備驅動程序。需求端:隨著物聯(lián)網(wǎng)、智慧應用(智能家居、智慧城市、智能汽車)、無人機等廠商導入NORFlash作為儲存裝置和微控制器搭配開發(fā),NORFlash需求持續(xù)增長。供給端:一方面由于DRAM和NAND搶食硅片產(chǎn)能,導致NORFlash用12寸硅片原材料供不應求漲價;另一方面,巨頭美光及Cypress紛紛宣布淡出,關停部分生產(chǎn)線等,產(chǎn)生供給缺口,導致價格上漲。

經(jīng)過近幾年版圖大洗牌,目前旺宏成為產(chǎn)業(yè)龍頭,市占率約24%,CYPRESS(賽普拉斯)市場占有率約21%,美光科技市占率約20%,華邦電居第四位,大陸廠商兆易創(chuàng)新居第五,占有一席之地。從各家公司的產(chǎn)品分布上,最高端NORFLASH產(chǎn)品多由美光、賽普拉斯供應,應用領域以汽車電子居多;華邦、旺宏則以NORFLASH中端產(chǎn)品供應為主,應用領域以消費電子、通訊電子居多;而兆易創(chuàng)新提供的多為低端產(chǎn)品,主要應用在PC主板、機頂盒、路由器、安防監(jiān)控產(chǎn)品等領域。

展望未來,隨著iPhoneX采用AMOLED,需要再搭配一顆NORFlash,預期AMOLED智能型手機市場滲透率持續(xù)上升,對NORFlash需求的成長空間頗大。近年蓬勃發(fā)展的物聯(lián)網(wǎng)IOT需要有記憶體搭載,以及車用系統(tǒng)也持續(xù)增加新的需求。兆易創(chuàng)新戰(zhàn)略入股中芯國際,將形成存儲器虛擬“IDM”合作模式,進一步加深雙方合作關系,有助于保障長期產(chǎn)能供應,深度受益于NORFlash景氣。

2.1.2、硅片:供需剪刀差形成,從12寸向8寸蔓延

硅片是半導體芯片制造最重要的基礎原材料,在晶圓制造材料成本中占比近30%,是份額最大的材料。

目前主流的硅片為300mm(12英寸)、200mm(8英寸)和150mm(6英寸),其中12英寸硅片份額在65-70%左右,8寸硅片占25-27%左右,6寸占6-7%左右。近年來12英寸硅片占比逐漸提升,6和8寸硅片的市場將被逐步擠壓,預計2020年二者合計占比由2014年的40%左右下降到2020年的30%左右,而更大尺寸450mm(18英寸)產(chǎn)能將在19年開始逐步投建。

硅片尺寸越大,單個硅片上可制造的芯片數(shù)量則越多,同時技術要求水平也越高。對于300mm硅片來說,其面積大約比200mm硅片多2.25倍,200mm硅片大概能生產(chǎn)出88塊芯片而300mm硅片則能生產(chǎn)出232塊芯片。更大直徑的硅片可以減少邊緣芯片,提高生產(chǎn)成品率;同時,在同一工藝過程中能一次性處理更多的芯片,設備的重復利用率提高了。

12英寸硅片主要用于高端產(chǎn)品,如CPUGPU等邏輯芯片和存儲芯片;8英寸主要用于中低端產(chǎn)品,如電源管理IC、LCDLED驅動IC、MCU、功率半導體MOSFE、汽車半導體等。

硅片供給屬于寡頭壟斷市場,目前全球硅晶圓廠商以日本、***、德國等五大廠商為主,包括日本信越、日本三菱住友SUMCO、環(huán)球晶圓、德國Siltronic、韓國SKSiltronic,前五大供應商囊括約90%以上的市場份額。

硅片的下游客戶主要以三星、美光、SK海力士、東芝/WD為代表的存儲芯片制造商和以臺積電、格羅方德、聯(lián)電、力晶科技、中芯國際為代表的純晶圓代工業(yè)者。

需求端:過去十年來硅片需求穩(wěn)定增長。2016與2007年相比,制造一顆IC面積減少了24%以上,2016年IC面積0.044平方英寸/顆,而2007年0.058平方英寸/顆,1年約減少2~3%。但來自終端需求成長,帶動硅片需求量平均每年成長5~7%,故整體硅片面積每年呈3~5%的成長。

供給端:擴產(chǎn)不及時。據(jù)DIGITIMES的數(shù)據(jù),自2006年至2016年上半,半導體硅片產(chǎn)業(yè)歷經(jīng)長達10年的供給過剩,大多數(shù)硅晶圓供貨商獲利不佳,使得近年來供給端的動作相當保守,供應商基本沒有擴充產(chǎn)能,2017年受到下游存儲器、ASIC、汽車半導體、功率半導體等需求驅動,硅片呈現(xiàn)供不應求的局面,供需反轉形成剪刀差,硅片廠去庫存,硅片價格逐漸上升,從12寸向8寸蔓延。

12寸硅片

需求端:ICinsights數(shù)據(jù)顯示全球營運中的12寸晶圓廠數(shù)量持續(xù)成長,2017年全球新增8座12寸晶圓廠開張,到2020年底,預期全球將再新增9座的12寸晶圓廠運營,讓全球應用于IC生產(chǎn)的12寸晶圓廠總數(shù)達到117座。而如果18寸(450mm)晶圓邁入量產(chǎn),12寸晶圓廠的高峰數(shù)量可達到125座左右;而營運中8寸(200mm)量產(chǎn)晶圓廠的最高數(shù)量則是210座(在2015年12月為148座)。根據(jù)SUMCO的數(shù)據(jù),2016下半年全球300mm硅片的需求已經(jīng)達到520萬片/月,2017年和2018年全球300mm硅片的需求分別為550萬片/月和570萬片/月。預計未來三年300mm硅片需求將持續(xù)增加,2020年新增硅片月需求預計超過750萬片/月,較2017年增加200萬片/月以上,需求提升36%,從2017-2022年復合需求增速超過9.7%,值得注意的是,以上測算需求還沒有考慮部分中國客戶。

供給端:根據(jù)SEMI的預測,2017年和2018年300mm硅片的產(chǎn)能為525萬片/月和540萬片/月。由于2017年之前硅片供大于求,硅片產(chǎn)業(yè)虧多賺少,各大硅片廠擴產(chǎn)意愿低,所以全球硅片的產(chǎn)量增長緩慢。各大廠商以漲價和穩(wěn)固市占率為主要策略,到目前為止僅有SUMCO預計在2019年上半年增加11萬片/月和Siltronic計劃到19年中期擴產(chǎn)7萬片/月。我們預計未來幾年12寸硅片的缺貨將是常態(tài)。

漲價:12寸硅片供不應求,缺貨成常態(tài),硅片價格逐步上升,下游晶圓廠開始去庫存。信越半導體及SUMCO的12寸硅片簽約價已從2017年的75美元/片上漲至120美元/片,漲幅高達60%。未來幾年硅片供給仍然存在明顯缺口,我們預計漲價趨勢將持續(xù),2018年12寸硅片將進一步漲價20%-30%左右。

8寸硅片

需求端:2017年上半年8寸晶圓廠整體的需求較平緩,隨著2017年第3季旺季需求顯現(xiàn),預期隨著硅晶圓續(xù)漲,在LCD/LED驅動IC、微控制器(MCU)、電源管理IC(PMIC)、指紋辨識IC、CIS影響傳感器等投片需求持續(xù)增加。雖然LCD驅動IC、PMIC、指紋辨識IC等已出現(xiàn)轉向12寸廠投片情況,但多數(shù)上游IC設計廠基于成本及客制化的考慮,仍以在8寸廠投片為主。Sumco預計到2020年200mm硅片需求量將達574萬片/月,比2016年底的460萬片/月增加24.78%。

供給端:8寸晶圓制造設備產(chǎn)能持續(xù)降低,部份關鍵設備出現(xiàn)嚴重缺貨,二手8寸晶圓制造設備也是供不應求。在此情況下,晶圓代工短期廠很難大舉擴增8寸晶圓產(chǎn)能,8寸硅晶圓的擴產(chǎn)需到2018年-2019年才有產(chǎn)出,我們預計未來幾年8寸硅片也將處于供給緊張狀態(tài)。

漲價:2017年12英寸硅晶圓供不應求且價格逐季調漲,8英寸硅晶圓價格也在2017年下半年跟漲,累計漲幅約10%。在投片需求持續(xù)增加,但擴產(chǎn)有限下,預期2018年上半年8寸晶圓廠產(chǎn)能整體產(chǎn)能仍吃緊。根據(jù)ESM報道,預期隨著硅晶圓續(xù)漲價,預計2018年第1季8寸晶圓代工價格將會調漲5~10%。

2.1.3、8寸晶圓產(chǎn)品:產(chǎn)品漲價蔓延

8寸硅晶圓短缺以及晶圓廠產(chǎn)能緊缺的影響逐漸向市場滲透,而電源IC、MCU、指紋IC、LED/LCD驅動芯片、MOSFET等皆為8寸產(chǎn)線。

根據(jù)國際電子商情報道,多家國內(nèi)外原廠發(fā)布了自2018年1月1日起漲價的通知,主要集中在MOSFET、電源IC、LCD驅動IC等產(chǎn)品,有的漲幅達到了15%-20%。國內(nèi)廠商,富滿電子、華冠半導體、芯電元、芯茂微電子、裕芯電子、南京微盟等對電源IC、LED驅動IC、MOSFET等產(chǎn)品進行了調價,其中MOSFET漲幅較大。國際分立器件與被動元器件廠商Vishay決定自2018年1月2日起對新訂單漲價,未發(fā)貨訂單價格也將于3月1日起調整。

MOSFET:延長交期

根據(jù)富昌電子2017年Q4的市場分析報告指出,低壓MOSFET產(chǎn)品,英飛凌、Diodes,飛兆(安森美)、安森美、安世,ST,Vishay的交期均在延長,交期在16-30周區(qū)間。英飛凌交期16-24周,汽車器件交貨時間為24+周。安世半導體交期20-26周,汽車器件產(chǎn)能限制。Vishay/Siliconix從5&6英寸晶圓廠轉型成8英寸晶圓廠,貨期也有改進。高壓MOSFET產(chǎn)品,除IXYS和MS交期穩(wěn)定之外,英飛凌、飛兆/安森美、ST、羅姆、Vishay皆為交期延長。

MCU:恐將缺貨一整年

2017年12月,全球汽車電子芯片龍頭大廠NXP(恩智浦)宣布,從2018年第一季度開始,MCU、汽車電子等產(chǎn)品將會進入漲價通道,漲價幅度5%-10%不等。此外,自2017年以來,全球多家MCU廠商產(chǎn)品出貨交期皆自四個月延長至六個月,日本MCU廠更罕見拉長達九個月。2017年全球電子產(chǎn)品制造業(yè)營運大多相當紅火,連日本半導體廠也出現(xiàn)多年不見正成長榮景,帶動IC芯片等電子元件銷量走升。預估后市于全球汽車電子、物聯(lián)網(wǎng)應用需求不斷爆發(fā)、持續(xù)成長,矽晶圓廠產(chǎn)能滿載下,2018年全球MCU市場,恐將一整年持續(xù)面臨供應短缺局面。

LCD驅動IC:漲價or缺貨

根據(jù)WitsView預測,一方面,由于晶圓代工廠提高8英寸廠的IC代工費用,IC設計公司第一季可能跟著被迫向面板廠提高IC報價5~10%,以反映成本上升的壓力。另一方面,隨著物聯(lián)網(wǎng)、車用電子以及智慧家居等需求興起,帶動電源管理與微控制器等芯片用量攀升,已經(jīng)開始擠壓8英寸晶圓廠LCD驅動IC的投片量。

近年來因面板廠的削價競爭,驅動IC價格大幅滑落,早已成為晶圓代工廠心中低毛利產(chǎn)品的代名詞,當利潤更佳的電源管理芯片或是微控制器的需求崛起,也剛好給了晶圓代工廠一個絕佳的調整機會,預估截至2018年第一季,晶圓代工廠驅動IC的投片量將下修約20%。中低端IT面板用驅動IC供應吃緊,驅動IC的交期普遍都拉長到10周以上,有可能連帶影響面板的供貨。

2.2、硅含量提升&創(chuàng)新應用驅動,半導體景氣周期持續(xù)

本輪半導體景氣周期以存儲器、硅片等漲價開始,受益于電子產(chǎn)品硅含量提升和下游創(chuàng)新應用需求推動,我們認為半導體行業(yè)有望得到長效發(fā)展。

2.2.1、硅含量提升

按照ICInsights的預測,半導體所占電子信息產(chǎn)業(yè)的比例,將由2016年的25%提高到接近2017年的28.1%,將會有更多的元器件被半導體所取代或整合,或者更多的新功能新應用被新設備所采用,半導體對應電子產(chǎn)品的重要性越來越大,預計到2021年,半導體價值量在整機中的占比將上升到28.9%,提升空間廣闊。

以電動汽車為例,據(jù)strategyanalytics2015數(shù)據(jù),傳統(tǒng)汽車的汽車電子成本大約在315美金,而插混汽車和純電動汽車的汽車電子含量增加超過一倍,插混汽車大約703美金,純電動汽車大約719美金。此外,汽車智能化還將進一步提高汽車電子的用量,從而推動半導體行業(yè)的發(fā)展。

2.2.2、創(chuàng)新應用驅動

根據(jù)SIA數(shù)據(jù),2016全球半導體下游終端需求主要以通信類(含智能手機)占比為31.5%,PC/平板占比為29.5%,消費電子占比13.5%,汽車電子占比11.6%。

展望未來,半導體產(chǎn)業(yè)除了傳統(tǒng)3C及PC驅動外,物聯(lián)網(wǎng)、5G、AI、汽車電子、區(qū)塊鏈及AR/VR等多項創(chuàng)新應用將成為半導體行業(yè)長效發(fā)展的驅動力。

物聯(lián)網(wǎng)IOT:到2020年全球產(chǎn)業(yè)規(guī)模將達到2.93萬億美元

移動通訊商愛立信的數(shù)據(jù)顯示,2015-2021年期間,全球基于蜂窩物聯(lián)網(wǎng)和非蜂窩物聯(lián)網(wǎng)的物聯(lián)設備年復合增長率將分別達到27%、22%,增速約為傳統(tǒng)移動電話的7倍。

物聯(lián)網(wǎng)設備增長帶動全球市場快速增長。據(jù)ICInsights等機構研究,2016年全球具備聯(lián)網(wǎng)及感測功能的物聯(lián)網(wǎng)市場規(guī)模為700億美元,比上年增長21%。預計2017年全球物聯(lián)網(wǎng)市場規(guī)模將達到798億美元,增速為14%。2018年全球市場增速將達30%,規(guī)模有望超千億美元。

市場調研機構Gartner數(shù)據(jù)顯示,2017年全球物聯(lián)網(wǎng)市場規(guī)模將達到1.69萬億美元,較2016年增長22%。在新一輪技術革命和產(chǎn)業(yè)變革帶動下,預計物聯(lián)網(wǎng)產(chǎn)業(yè)發(fā)展將保持20%左右的增速,到2020年,全球物聯(lián)網(wǎng)產(chǎn)業(yè)規(guī)模將達到2.93萬億美元,年均復合增長率將達到20.3%。

5G:射頻芯片和濾波器價值提升

據(jù)中國信息通信研究院預測,5G商用部署后,至2025年中國的5G連接數(shù)將達到4.28億,占全球連接總數(shù)的39%。華為2018年搶先發(fā)布了首款3GPP標準的5G商用芯片和終端,2019年,華為將推出5G手機。5G時代頻段和載波聚合技術會增加射頻元件的使用數(shù)量,新技術提高了射頻部分元器件的設計難度,帶來元器件單機價值量提升。在半導體領域體現(xiàn)在射頻芯片和濾波器兩部分價值的提升。智能手機使用的RF前端模塊與組件市場于2016年產(chǎn)值為101億美元,到了2022年,預計將會成長至227億美元。

人工智能AI&區(qū)塊鏈:特殊應用芯片高速成長

人工智能芯片的發(fā)展路徑經(jīng)歷了從通用走向專用,從CPU到GPU到FPGA再到ASIC。

《2016-2017中國物聯(lián)網(wǎng)發(fā)展年度報告》顯示2016年全球人工智能芯片市場規(guī)模達到23.88億美金,預計到2020年將達到146億美金,增長迅猛,發(fā)展空間巨大。

此外,以區(qū)塊鏈為底層技術的加密貨幣帶動挖礦芯片及其封裝市場的增長。據(jù)預測,2017年若以主流28納米流片的芯片數(shù)目來計算,2017年對應的芯片用量約為3.2億個挖礦芯片,2017年全年礦機芯片封裝市場約為9-11億元之間。展望2018,往后還將出現(xiàn)12納米制程以下的ASIC礦機芯片,根據(jù)DIGITIMES預估,2018年礦機芯片封測市場規(guī)模預估將成長至少四倍,逼近40億元人民幣以上。

以臺積電為例,在iPhoneX出貨量調降、中國對智能手機需求疲弱之際,加密貨幣相關業(yè)務或成為臺積電營收貢獻的及時雨,比特大陸2017年12月躍升為臺積電的最大大陸客戶。臺積電預期虛擬貨幣相關特殊應用芯片,和其他具備核心深度學習、高速運算的繪圖芯片等,將是臺積電2018成長最強的領域。根據(jù)Gartner預測,快速崛起的深度學習處理器到2022年將成長至160億美元市場規(guī)模。

汽車電子:電動化+智能化+網(wǎng)聯(lián)化推動汽車電子含量顯著提升

隨著全球能源、環(huán)境、交通安全等問題日漸突出和消費者對汽車的舒適、便利、娛樂等的要求越來越高,汽車向電動化、輕量化、智能化、聯(lián)網(wǎng)化發(fā)展。根據(jù)普華永道和思略特預測,從2025年開始,電動車將迅速發(fā)展;而到2028年,4/5級無人駕駛汽車將成為主流。

汽車電動化+智能化+網(wǎng)聯(lián)化趨勢下,汽車電子含量顯著提升,主要來自于兩方面:一是電動化帶來功率半導體、MCU、傳感器等增加;二是智能化和網(wǎng)聯(lián)化帶來車載攝像頭、雷達、芯片等增加。在智能化帶來的增量方面,自動駕駛級別每提升一級,傳感器的需求數(shù)量將相應的增加,到L4/L5級別,車輛全身傳感器將多達十幾個以上。

特斯拉為例,Autopilot2.0傳感器包含12個超聲波傳感器,8個攝像頭以及1個雷達。未來5年,隨著汽車自動化級別的逐步提高,在雷達和攝像頭模塊的驅動下,ADAS/AD半導體市場將加速增長。英飛凌認為:2025年左右,L3自動駕駛車輛的單車半導體成本平均為580美元;2030年左右,L4/L5自動駕駛車輛的單車半導體成本平均為860美元。

據(jù)《中國汽車電子行業(yè)分析報告》數(shù)據(jù)顯示,2013年,我國汽車電子市場規(guī)模為3120億元,到2015年時,已增至3979億元,呈現(xiàn)逐年快速增長態(tài)勢。預計到2020年,我國汽車電子市場規(guī)模將達到7049億元。

2.2.3、半導體景氣周期持續(xù)

美國半導體行業(yè)協(xié)會(SIA)數(shù)據(jù)顯示,2018年1月全球半導體銷售額增長22.7%,達到創(chuàng)紀錄的376億美元,連續(xù)18個月實現(xiàn)增長。其中,美國半導體銷售額同比飆升40.6%,創(chuàng)有史以來最大增幅;歐洲銷售額增長19.9%,亞太及所有其它地區(qū)銷售額增長18.6%,中國市場銷售額增長18.3%,日本銷售額增長15.1%。

SEMI預估,2018年半導體產(chǎn)值年增率約5%至8%,再創(chuàng)新高,2019年可望續(xù)增,產(chǎn)值將首度站上5,000億美元大關。研究機構Gartner預期半導體市場2018年仍持續(xù)是個好年,但相較于2017年成長將會趨緩,2018年預測約達到7.5%,而在往后2019-2020年成長將呈現(xiàn)持平的狀態(tài)。

根據(jù)ICInsights數(shù)據(jù)顯示,在集成電路市場的四大產(chǎn)品類別:模擬、邏輯、存儲和微元件中,2017-2022年模擬市場增速最高達到6.6%,而微元件市場僅為3.9%,整體集成電路市場年復合增長率為5.1%。

3、提高自給率迫在眉睫,大國戰(zhàn)略推動產(chǎn)業(yè)發(fā)展

3.1、市場雖大自給率低,芯片國產(chǎn)化迫在眉睫

中國半導體市場接近全球的1/3。根據(jù)WSTS數(shù)據(jù),2016年全球半導體銷售額為3389億美元,其中我國半導體銷售額1075億,占全球市場的31.7%。中國為全球需求增長最快的地區(qū)。2010年-2016年,全球半導體市場規(guī)模年均復合增速為6.3%,而中國年均復合增速為21.5%。隨著5G、消費電子、汽車電子等下游產(chǎn)業(yè)的進一步興起,疊加全球半導體產(chǎn)業(yè)向大陸轉移,預計中國半導體產(chǎn)業(yè)規(guī)模進一步增長。

自給率水平低,核心芯片缺乏,國產(chǎn)化迫在眉睫。在2014及2015年的統(tǒng)計中芯片進口就超過了2000億美元,超過了原油,成為中國進口量最大的商品。根據(jù)ICinsights數(shù)據(jù),2015國內(nèi)半導體自給率還沒超過10%,16年自給率剛達到10.4%。預計15年到20年,國內(nèi)的半導體自給產(chǎn)值CAGR能達到28.5%,從而達到2020年國產(chǎn)化比例15%的水平。

特別是核心芯片自給率極低。我國計算機系統(tǒng)中的CPUMPU、通用電子統(tǒng)中的FPGA/EPLD和DSP、通信裝備中的嵌入式MPU和DSP、存儲設備中的DRAM和NandFlash、顯示及視頻系統(tǒng)中的DisplayDriver,國產(chǎn)芯片占有率都幾乎為零。

這種情況對于國家和企業(yè)而言都是非常不利的,不管是從國家安全還是電子產(chǎn)業(yè)的發(fā)展而言,全力推動半導體產(chǎn)業(yè)目前已經(jīng)成為了全國上下的一致共識,整個行業(yè)的發(fā)展動力非常充足。

根據(jù)ICInsight的數(shù)據(jù),2016年全球20大半導體企業(yè)中,仍然以海外公司為主。其中美國有8家,日本、***地區(qū)和歐洲各占3家,韓國占2家,新加坡有1家,沒有一家大陸半導體公司上榜。不管是設計制造還是IDM模式方面,大陸半導體產(chǎn)業(yè)和國際先進水平仍然存在不小差距。

3.2、大國戰(zhàn)略推動產(chǎn)業(yè)發(fā)展,大基金撬動千億產(chǎn)業(yè)資金

國內(nèi)半導體發(fā)展大致可以分為三個階段:

第一階段為1982-2000,稱之為搭框架階段。1982年成立了國務院計算機與大規(guī)模集成電路領導小組,由于當時的國際環(huán)境比較好,我們提出以市場換技術,以北京、上海、無錫為中心建立半導體產(chǎn)業(yè)基地,尤其是90s的無錫華晶,成為國內(nèi)矚目的半導體標桿性企業(yè)。

第二階段為2000-2014,18號文之后的15年,商業(yè)化初步階段。2000年國務院[18號文],出臺《鼓勵軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)發(fā)展的若干政策》,到2011年,國務院很快發(fā)布了關于《進一步鼓勵軟件和集成電路產(chǎn)業(yè)發(fā)展若干政策》的通知,就是4號文,在稅收和財政上給予半導體產(chǎn)業(yè)優(yōu)惠政策,產(chǎn)業(yè)分工得以初步實現(xiàn)。晶圓廠迎來一波建設浪潮,2000年后,天津摩托羅拉投資14億美元建成月產(chǎn)2.5萬片的8英寸工廠,上海中芯國際投資15億美元建成月產(chǎn)4.2萬片的8英寸工廠。到2003年,國內(nèi)出現(xiàn)一批晶圓代工企業(yè),如上海宏力、蘇州和艦(聯(lián)電)、上海貝嶺、上海先進(飛利浦),北京中芯環(huán)球等。

第三階段為2014-2030,以2014年發(fā)展綱要頒布為起點的15年,進入跨越式發(fā)展推進階段。2014年6月,國務院頒布了《國家集成電路產(chǎn)業(yè)發(fā)展推進綱要》,提出設立國家集成電路產(chǎn)業(yè)基金(簡稱“大基金”),將半導體產(chǎn)業(yè)新技術研發(fā)提升至國家戰(zhàn)略高度。且明確提出,到2020年,集成電路產(chǎn)業(yè)與國際先進水平的差距逐步縮小,全行業(yè)銷售收入年均增速超過20%,企業(yè)可持續(xù)發(fā)展能力大幅增強;到2030年,集成電路產(chǎn)業(yè)鏈主要環(huán)節(jié)達到國際先進水平,一批企業(yè)進入國際第一梯隊,實現(xiàn)跨越發(fā)展。

據(jù)集邦咨詢統(tǒng)計,截至2017年11月30日,大基金累計有效決策62個項目,涉及46家企業(yè),累計有效承諾額1,063億元,實際出資794億元,分別占首期總規(guī)模的77%和57%,投資范圍涵蓋IC產(chǎn)業(yè)上、下游。大基金在制造、設計、封測、設備材料等產(chǎn)業(yè)鏈各環(huán)節(jié)進行投資布局全覆蓋,各環(huán)節(jié)承諾投資占總投資的比重分別是63%、20%、10%、7%。

我們對大基金投資標的進行了匯總,截至2018年1月19日,大基金已成為50多家公司股東,涉及18家A股公司、3家港股公司,目前大基金持股市值超200億。

在國家集成電路產(chǎn)業(yè)投資基金之外,多個省市也相繼成立或準備成立集成電路產(chǎn)業(yè)投資基金,目前包括北京、上海、廣東等在內(nèi)的十幾個省市已成立專門扶植半導體產(chǎn)業(yè)發(fā)展的地方政府性基金。根據(jù)國家集成電路產(chǎn)業(yè)基金的統(tǒng)計,截止2017年6月,由“大基金”撬動的地方集成電路產(chǎn)業(yè)投資基金(包括籌建中)達5145億元。

目前大基金二期已經(jīng)啟動,募集金額有望超過一期,一期規(guī)模為1387億元。大基金總經(jīng)理丁文武透露,大基金將提高對設計業(yè)的投資比例,并將圍繞國家戰(zhàn)略和新興行業(yè)進行投資規(guī)劃,比如智能汽車、智能電網(wǎng)、人工智能、物聯(lián)網(wǎng)、5G等,并盡量對設備和材料給予支持,推動其加快發(fā)展。

4、大陸設計制造封測崛起,材料設備重點突破

4.1、產(chǎn)業(yè)生態(tài)逐步完善,三業(yè)發(fā)展日趨均衡

經(jīng)過多年的發(fā)展,通過培育本土半導體企業(yè)和國外招商引進國際跨國公司,國內(nèi)逐漸建成了覆蓋設計、制造、封測以及配套的設備和材料等各個環(huán)節(jié)的全產(chǎn)業(yè)鏈半導體生態(tài)。大陸涌現(xiàn)了一批優(yōu)質的企業(yè),包括華為海思、紫光展銳、兆易創(chuàng)新、匯頂科技等芯片設計公司,以中芯國際、華虹半導體、華力微電子為代表的晶圓制造企業(yè),以及長電科技、華天科技、通富微電、晶方科技等芯片封測企業(yè)。

根據(jù)集邦咨詢數(shù)據(jù),2017年中國半導體產(chǎn)值將達到5176億元人民幣,年增率19.39%,預估2018年可望挑戰(zhàn)6200億元人民幣的新高紀錄,維持20%的年增長速度,高于全球半導體產(chǎn)業(yè)增長率。

近年來,國內(nèi)半導體一直保持兩位數(shù)增速,制造、設計與封測三業(yè)發(fā)展日趨均衡,但我國集成電路產(chǎn)業(yè)結構依然不均衡,制造業(yè)比重過低。2017年前三季度,我國IC設計、制造、封測的產(chǎn)業(yè)比重分別為37.7%、26%和35.5%,但世界集成電路產(chǎn)業(yè)設計、制造和封測三業(yè)占比慣例為3∶4∶3。

我國2016年設計業(yè)占比首次超越封測環(huán)節(jié),未來兩年在AI、5G、物聯(lián)網(wǎng),以及區(qū)塊鏈、指紋識別、CIS、AMOLED、人臉識別等新興應用的帶動下,預估設計業(yè)占比將在2018年持續(xù)增長至38.8%,穩(wěn)居第一的位置。

制造產(chǎn)業(yè)加速建設,尤其以12寸晶圓廠進展快速。2018年將有更多新廠進入量產(chǎn)階段,整體產(chǎn)值將有望進一步攀升,帶動IC制造的占比在2018年快速提升至28.48%。

封測業(yè)基于產(chǎn)業(yè)集群效應、先進技術演進驅動,伴隨新建產(chǎn)線投產(chǎn)運營、中國本土封測廠高階封裝技術愈加成熟、訂單量增長等利多因素帶動,我們預計2018年封測業(yè)產(chǎn)值增長率將維持在兩位數(shù)水平,封測三巨頭增速將優(yōu)于全行業(yè)。

4.2、設計:自主發(fā)展,群雄并起

我國部分專用芯片快速追趕,正邁向全球第一陣營。專用集成電路細分領域眾多,我國能夠趕上世界先進水平的企業(yè)還是少數(shù),這主要有兩類。一是成本驅動型的消費類電子,如機頂盒芯片、監(jiān)控器芯片等。二是通信設備芯片,例如,華為400G核心路由器自主芯片,2013年推出時領先于思科等競爭對手,并被市場廣泛認可。上述芯片設計能較好地兼顧性能、功耗、工藝制程、成本、新產(chǎn)品推出速度等因素,具備很強的國際競爭力。但是,在高端智能手機、汽車、工業(yè)以及其他嵌入式芯片市場,我國差距仍然很大。

高端通用芯片與國外先進水平差距大是重大短板。在高端通用芯片設計方面,我國與發(fā)達國家差距巨大,對外依存度很高。我國集成電路每年超過2000億美元的進口額中,處理器和存儲器兩類高端通用芯片合計占70%以上。英特爾、三星等全球龍頭企業(yè)市場份額高,持續(xù)引領技術進步,對產(chǎn)業(yè)鏈有很強的控制能力,后發(fā)追趕企業(yè)很難獲得產(chǎn)業(yè)鏈的上下游配合。雖然紫光展銳、華為海思等在移動處理器方面已進入全球前列。但是,在個人電腦處理器方面,英特爾壟斷了全球市場,國內(nèi)相關企業(yè)有3~5家,但都沒有實現(xiàn)商業(yè)量產(chǎn),大多依靠申請科研項目經(jīng)費和政府補貼維持運轉。龍芯近年來技術進步較快,在軍品領域有所突破,但距離民用仍然任重道遠。國內(nèi)存儲項目剛剛起步,而對于FPGA、AD/DA等高端通用芯片,國內(nèi)基本上是空白。

收購受限,自主發(fā)展。隨著萊迪思(以FPGA產(chǎn)品為主營業(yè)務)收購案被否決,標志著通過收購海外公司來加速產(chǎn)業(yè)發(fā)展的思路已經(jīng)不太現(xiàn)實,越是關鍵領域,美國等國家對于中國的限制就會嚴格,只有自主發(fā)展,才是破除限制的根本方法。

海思展訊進入全球前十。根據(jù)ICInsights2017年全球前十大Fabless排名,國內(nèi)有兩家廠商殺進前十名,分別是海思和紫光集團(展訊+RDA),這兩者分別以47.15億美元和20.50億美元的收入分居第七位和第10位,其中海思的同比增長更是達到驚人的21%,僅僅次于英偉達AMD,在Fabless增長中位居全球第三。

大陸設計業(yè)群雄逐鹿。根據(jù)《砥礪前行的中國IC設計業(yè)》數(shù)據(jù)顯示,2017年國內(nèi)共有約1380家芯片設計公司,較去年的1362家多了18家,總體變化率不大。而2016年,則是中國芯片設計行業(yè)突飛猛進的一年,相關設計公司數(shù)量較2015年大增600多家。

根據(jù)集邦咨詢數(shù)據(jù),2017年中國IC設計業(yè)產(chǎn)值預估達人民幣2006億元,年增率為22%,預估2018年產(chǎn)值有望突破人民幣2400億元,維持約20%的年增速。

2017年中國IC設計產(chǎn)業(yè)廠商技術發(fā)展僅限于低端產(chǎn)品的狀況已逐步改善,海思的高端手機應用處理芯片率先采用了10nm先進制程,海思、中興微的NB-IoT、寒武紀、地平線的AI布局在國際嶄露頭角,展銳、大唐、海思的5G部署也順利進行。

根據(jù)集邦咨詢預估的2017年IC設計產(chǎn)業(yè)產(chǎn)值與廠商營收排名數(shù)據(jù),今年前十大IC設計廠商排名略有調整,大唐半導體設計將無緣前十,兆易創(chuàng)新和韋爾半導體憑借優(yōu)異的營收表現(xiàn)進入排行前十名。

海思:受惠于華為手機出貨量的強勢增長和麒麟芯片搭載率的提升,2017年營收年增率維持在25%以上。

展銳:受制于中低端手機市場的激烈競爭,2017年業(yè)績出現(xiàn)回調狀況。

中興微電子:以通訊IC設計為基礎,受到產(chǎn)品覆蓋領域廣泛的帶動,預估營收成長率超過30%。

華大半導體:業(yè)務涉及到智能卡及安全芯片、模擬電路、新型顯示等領域,2017年營收也將超過人民幣50億元。

匯頂科技:在智能手機指紋識別芯片搭載率的持續(xù)提升和產(chǎn)品優(yōu)異性能的帶動下,在指紋市場業(yè)績直逼市場龍頭FPC,預計今年營收增長也將超過25%。

兆易創(chuàng)新:首次進入營收前十名,憑借其在NORFlash和32bitMCU上的出色市場表現(xiàn),2017年營收成長率有望突破40%,超過人民幣20億元。

而在芯謀研究發(fā)布的2017年中國十大集成電路設計公司榜單上,比特大陸以143億元的年銷售額躍升第二,成為中國芯片設計業(yè)的年度黑馬。比特大陸是全球最大的比特幣礦機生產(chǎn)商,旗下的螞蟻礦機系列2017年銷量在數(shù)十萬臺,市場占有率超過80%。

2018年,中國IC設計產(chǎn)業(yè)在提升自給率、政策支持、規(guī)格升級與創(chuàng)新應用三大要素的驅動下,將保持高速成長的趨勢,其中,中低端產(chǎn)品市場占有率持續(xù)提升,國產(chǎn)化的趨勢將越加明顯。另一方面,資金與政策支持將持續(xù)擴大。大基金第二期正在募集中,且會加大對IC設計產(chǎn)業(yè)的投資占比,同時選擇一些創(chuàng)新的應用終端企業(yè)進行投資。此外,科技的發(fā)展也引領終端產(chǎn)品規(guī)格升級,物聯(lián)網(wǎng)、AI、汽車電子、專用ASIC等創(chuàng)新應用對IC產(chǎn)品的需求不斷擴大,也將為2018年IC設計產(chǎn)業(yè)帶來成長新動力。

4.3、制造:產(chǎn)業(yè)轉移,3代工+3存儲

晶圓制造產(chǎn)業(yè)向大陸轉移。在半導體向國內(nèi)轉移的趨勢下,國際大廠紛紛到大陸地區(qū)設廠或者增大國內(nèi)建廠的規(guī)模。據(jù)ICInsight數(shù)據(jù),2016年底,大陸地區(qū)晶圓廠12寸產(chǎn)能210K(包括存儲產(chǎn)能),8寸產(chǎn)能611K。本土的中芯國際、華力微以及武漢新芯的12寸產(chǎn)能合計為160K。

大陸12寸晶圓廠產(chǎn)能爆發(fā)。根據(jù)SEMI數(shù)據(jù)顯示,預計2017年至2020年間,全球投產(chǎn)的晶圓廠約62座,其中26座位于中國,占全球總數(shù)的42%。根據(jù)TrendForce統(tǒng)計,自2016年至2017年底,中國新建及規(guī)劃中的8寸和12寸晶圓廠共計約28座,其中12寸有20座、8寸則為8座,多數(shù)投產(chǎn)時間將落在2018年。預估至2018年底中國12寸晶圓制造月產(chǎn)能將接近70萬片,較2017年底成長42.2%;同時,2018年產(chǎn)值將達人民幣1,767億元,年成長率為27.12%。

晶圓代工三強:中芯國際、華虹半導體、華力微

在晶圓代工市場,大陸廠商面臨著挑戰(zhàn)與機遇。一方面,大陸設計公司在快速成長,本土設計公司天然有支持本土制造廠商的傾向;另一方面,制造業(yè)發(fā)展所需資金、人力與知識積累的門檻越來越高,在這些方面中國廠商與世界領先廠商的差距有拉大的趨勢。如何在現(xiàn)有基礎上穩(wěn)扎穩(wěn)打,逐步縮小與世界先進水平的差距,相當考驗以中芯國際、華宏宏力、華力微為代表的大陸代工廠的經(jīng)營能力。

全球晶圓代工穩(wěn)步增長,行業(yè)集中高。ICInsight預計2016-2021年的純晶圓代工廠將年均以7.6%的復合增速增長,從2016年的500億美元增長到2021年的721億美元。純晶圓代工行業(yè)集中度很高,前四大純晶圓代工廠合計占據(jù)全球份額的85%,其中臺積電一家更是雄踞近60%的市場份額。基于晶圓代工行業(yè)高技術高投入的門檻,我們判斷晶圓代工行業(yè)格局短期不會有太大變化,但國內(nèi)中芯國際可能會是增速最快的一家。

國內(nèi)代工三強與國際巨頭相比,追趕仍需較長時間。從大陸市場來看,由于國內(nèi)市場的崛起,尤其是設計公司的快速發(fā)展,純晶圓廠在國內(nèi)的銷售額的增長迅猛。根據(jù)ICinsight預測,2017年大陸地區(qū)晶圓代工市場達到70億美金,同比增長16%,顯著高于全球平均增速。臺積電依然是一家獨大,占比高達47%。

國內(nèi)先進制程落后相差兩代以上。半導體晶圓制造集中度提升,只有巨頭才能不斷地研發(fā)推動技術的向前發(fā)展。世界集成電路產(chǎn)業(yè)28-14nm工藝節(jié)點成熟,14/10nm制程已進入批量生產(chǎn),Intel、三星和臺積電均宣布已經(jīng)實現(xiàn)了10nm芯片量產(chǎn),并且準備繼續(xù)投資建設7nm和5nm生產(chǎn)線。而國內(nèi)28nm工藝僅在2015年實現(xiàn)量產(chǎn),且仍以28nm以上為主。

本土晶圓廠最先進量產(chǎn)制程目前仍處于28nmPoly/SiON階段,雖然在28nm營收占比、28nmHKMG量產(chǎn)推進及方面皆取得不錯的成績。中芯國際是國內(nèi)純晶圓制造廠龍頭,在傳統(tǒng)制程(≥40nm)已具備相當?shù)谋容^優(yōu)勢,同時積極擴展28nm領域,但面臨最大的障礙是28nm良率不足的問題,一旦未來6-12個月內(nèi)取得突破,將為公司打開更廣闊空間,相應的擴產(chǎn)力度和節(jié)奏都將大大提高。梁孟松入職中芯擔任聯(lián)合CEO,極大地提高了關鍵制程確定性。梁孟松早年是臺積電和三星的技術核心人物,臺積電的130nm、三星的45/32/28nm每一節(jié)點都有梁的突出貢獻。我們認為在梁主導研發(fā)之后,將有效整合中芯現(xiàn)有資源,加快突破28nm的進程以及進軍14nm研發(fā)。但另一方面,臺積電(南京)、聯(lián)芯(廈門)、格芯(成都)等外資廠商的同步登陸布局也將進一步加劇與本土廠商在先進制程的競爭。

存儲器三強:長江存儲、合肥長鑫、福建晉華

存儲器分類、市場空間、競爭格局等相關內(nèi)容已在本文2.1節(jié)介紹(單擊此處跳轉查看)。2017年風光無限的存儲器市場上,中國是買單的一方,無論是DRAM還是NAND閃存,現(xiàn)在的自給率仍然是零。目前大陸用于專門生產(chǎn)存儲器的12英寸晶圓廠都主要為外資企業(yè),包括SK海力士(無錫)、三星(西安)和英特爾(大連)。本土存儲項目剛剛起步,產(chǎn)線尚在建設當中,主要包括武漢長江存儲、福建晉華集成、合肥長鑫存儲。

長江存儲是由紫光集團與武漢新芯合作成立,首期投入超過600億元,預計未來還將追加300億美元。2016年底動工國家存儲器基地項目,2017年2月宣布與微電子所聯(lián)合研發(fā)的32層3DNANDFlash芯片順利通過測試,目前已累積多個3DNAND專利,有望2018年底順利投產(chǎn),預計2020年月產(chǎn)能將達30萬片。紫光還計劃在成都和深圳投資兩條總產(chǎn)能14萬/月的NANDFlash12寸生產(chǎn)線。但是紫光的NANDFlash制程節(jié)點仍落后國際大廠1-2代。目前長江存儲的重心放在3DNANDflash的開發(fā)上面,同時也在推進20/18nm的DRAM開發(fā),DRAM進度慢于NANDFLASH,預計DRAM最快將于2020年量產(chǎn)。

合肥長鑫存儲由兆易創(chuàng)新、中芯國際前CEO王寧國與合肥產(chǎn)投簽訂協(xié)議成立,項目預算金額為180億元人民幣。兆易創(chuàng)新負責研發(fā)19nm工藝制程的12英寸晶圓移動型DRAM,目標于2018年底前研發(fā)成功,實現(xiàn)產(chǎn)品良率不低于10%。屆時,合肥長鑫將成為中國第一家自主化大規(guī)模DRAM工廠,將是世界第四家突破20nm以下DRAM生產(chǎn)技術的公司。

福建晉華項目由臺聯(lián)電提供技術專攻利基型DRAM(消費電子),已投資56.5億元在晉江建設12寸晶圓廠,初期將導入32nm制程,規(guī)劃產(chǎn)能為每月6萬片,預計2018年9月開始試產(chǎn)。

4.4、封測:力爭先進,三足鼎立

現(xiàn)代電子封裝包含的四個層次:零級封裝——半導體制造的前工程,芯片的制造,晶體管互連7-500納米;一級封裝——半導體制造的后工程,芯片的封裝,通常的封裝是指一級封裝,封裝體內(nèi)互連20-500微米;二級封裝——在印刷線路板上的各種組裝,基板上互連100-1000微米;三級封裝——手機等的外殼安裝,儀器設備內(nèi)互連1000微米。

根據(jù)封裝材料分類,可分為金屬封裝體(約占1%):外殼由金屬構成,保護性好、但成本高,適于特殊用途;陶瓷封裝體(約占2%):外殼由陶瓷構成,保護性好、但成本高,適于特殊用途;塑料封裝體(約占93%):由樹脂密封而成,成本低,占封裝體的90%以上,被廣泛使用。

目前主流市場封裝形式粗略地可分為的兩種:引線框架型和球柵陣列型。

在性能和成本的驅動下,封裝技術發(fā)展呈現(xiàn)兩大趨勢:微型化和集成化。微型化是指單個芯片封裝小型化、輕薄化、高I/O數(shù)發(fā)展;而集成化則是指多個芯片封裝在一起。集成化并不是相互獨立的,集成化可以根據(jù)不同的微型化組合形成多種解決方案。

微型化發(fā)展出FOWLP,封裝的“先進制程”

封裝技術經(jīng)歷了引線框架(DIPSOPQFPQFN)→WBBGA(焊線正裝)→FCBGA(倒裝)→WLP(晶圓級封裝)的發(fā)展過程,可容納的I/O數(shù)越來越多,封裝的厚度和尺寸越來越小。FC和WLP屬于先進封裝。

WLP封裝優(yōu)點包括成本低、散熱佳、電性優(yōu)良、信賴度高,且為芯片尺寸型封裝,尺寸與厚度皆可達到更小要求等。WLP封裝另一項優(yōu)勢在于封裝制程采取整批作業(yè),因此晶圓尺寸越大,批次封裝數(shù)量越多,成本能壓得更低,符合晶圓廠由8吋轉進12吋發(fā)展趨勢,WLP專業(yè)封測廠利潤空間也可提高。

WLP又經(jīng)歷了從Fan-in(Fan-inWLP一般稱為WLCSP)向Fan-out(Fan-outWLP一般簡稱為FOWLP)的演進,F(xiàn)an-out可實現(xiàn)在芯片范圍外延伸RD以容納更多的I/O數(shù)。

Fan-OutWLP技術是先將芯片作切割分離,然后將芯片正面朝下黏于載具(Carrier)上,并且芯片間距要符合電路設計的節(jié)距(Pitch)規(guī)格,接者進行封膠(Molding)后形成面板(Panel)。后續(xù)將封膠面板與載具分離,因為封膠面板為晶圓形狀,又稱重新建構晶圓(ReconstitutedWafer),可大量應用標準晶圓制程,在封膠面板上形成所需要的電路圖案。由于封膠面板的面積比芯片大,不僅可以采用扇入(Fan-In)方式制作I/O接點,也可以采用扇出(Fan-Out)方式制作,如此便可容納更多的I/O接點數(shù)目。

集成化發(fā)展出SIP,超越摩爾極限

隨著摩爾定律發(fā)展接近極限,集成電路的集成化越來越高,呈現(xiàn)出兩種集成路徑,一是moremoore,即在設計和制造端將多個功能的系統(tǒng)集成在一個芯片上,即SOC技術(Systemonchip),同時封測端發(fā)展出的FO-WLP技術正好可以用來封裝SOC芯片;二是morethanmoore,即是在封測端將多個芯片封裝成一個,即SIP技術(SysteminPackage)。

SIP是從封裝的立場出發(fā),對不同芯片進行并排或疊加的封裝方式,將多個具有不同功能的有源電子元件與可選無源器件,以及諸如MEMS或者光學器件等其他器件優(yōu)先組裝到一起,實現(xiàn)一定功能的單個標準封裝件。SiP有效地突破了SoC在整合芯片途徑中的限制,極大地降低了設計端和制造端成本,也使得今后芯片整合擁有了客制化的靈活性。

SIP封裝并無一定形態(tài),SIP封裝可根據(jù)不同芯片排列方式與不同內(nèi)部結合技術的搭配,生產(chǎn)定制化產(chǎn)品,滿足客戶定制化需求,例如采取多種裸芯片或模塊進行平面式2D封裝(MCM等)或3D(MCP、SatckDie、PoP、PiP等)封裝,其內(nèi)部的互連技術可以使用引線鍵合(WireBonding),也可使用倒裝焊(FlipChip)或硅通孔(TSV)等,還可采用多功能性基板整合組件的方式,將不同組件內(nèi)藏于多功能基板中(即嵌入式封裝),最終實現(xiàn)功能整合。

TSV助力SIP向3D發(fā)展

TSV(ThroughSiliconVia)和WB金屬線連接以及倒裝FC中的bumping都是一種連接技術。TSV在芯片間或晶圓間制作垂直通道,實現(xiàn)芯片間垂直互聯(lián)。相比引線鍵合技術以及倒轉片技術,TSV連線長度縮短到芯片厚度,傳輸距離減少到千分之一;可以實現(xiàn)復雜的多片全硅系統(tǒng)集成;可以顯著減小RC延遲,提高計算速度;顯著降低噪聲、能耗和成本。

TSV最早應用于CIS封裝,目前成本較高,主要應用于圖像傳感器、轉接板、存儲器、邏輯處理器+存儲器、RF模組、MEMS晶圓級3D封裝等高端封裝。未來若在成本控制方面有所突破,相信TSV技術大有取代引線鍵合互聯(lián)之勢。

除了先FOWLP和SIP2.5D/3D集成電路封裝,還有一種先進封裝技術稱為嵌入式封裝(EmbeddedDie),即在PCB板中的嵌入芯片。智能手機中的DC/DC變換器是首款出貨量顯著嵌入式封裝產(chǎn)品。嵌入式芯片適用的汽車、醫(yī)療和航空航天等領域,為更長的認證時間和監(jiān)管認證周期而進展緩慢。

先進封裝技術(FC、FOWLP、SIP、TSV)重構了封測廠的角色。FOWLP使得封測廠向上延伸到制造工序;SIP和TSV使得封測廠向下游延伸到微組裝(二級封裝)。

蘋果iPhone7的A10處理器采用了臺積電的FoWLP和SIP相結合的技術,臺積電內(nèi)部稱作InFoWLP技術。A10處理器是將應用處理器與移動DRAM整合在同一個封裝中,相比傳統(tǒng)POP封裝,由于InFOWLP封裝不使用基板,可減少0.6厘米的厚度,為未來幾年的移動封裝技術立下新的標竿。

蘋果AppleWatchS系列芯片是最早大規(guī)模使用SiP技術的典型的應用。同時iPhone中也具備多個SiP模組,在iPhone7中SiP模組多達5個。

從市場上看,根據(jù)Yole數(shù)據(jù),先進封裝2016年至2022年的年復合增長率達到7%,高于整個封裝行業(yè)(3-4%),半導體行業(yè)(4-5%),PCB行業(yè)(2-3%)以及全球電子產(chǎn)品工業(yè)(3-4%)和全球國內(nèi)生產(chǎn)總值(2-3%)。發(fā)展最快的先進封裝技術是Fan-Out(36%),其次是2.5D/3DTSV(28%)。到2022年,扇出預計將超過3億美元,到2021年預計2.5D/3DTSV將超過1億美元。FC技術目前占比仍然是最大的,2017年達到19.6億美元,占先進包裝收入的81%。隨著Fan-Out封裝的滲透提升,到2020年預計FC市場份額將下降至74%。

具體看FOWLP市場,F(xiàn)OWLP市場包括兩個部分,一是單芯片扇出封裝(coreFO),應用于原先Fan-in無法應用的通訊芯片、電源管理IC等大宗應用市場;二是高密度扇出封裝(HDFO),F(xiàn)oWLP可作為多芯片、IPD或無源集成的SiP解決方案,應用于AP以及存儲芯片。如臺積電的InFO技術在16nmFinFET上可以實現(xiàn)RF與Wi-Fi、AP與BB、GPU與網(wǎng)絡芯片三種組合。

根據(jù)Yole數(shù)據(jù),預計2017年FOWLP市場達到14億美元,2022年市場規(guī)模將上升到23億美元,未來年復合成長率達20%。

國內(nèi)封測三強進入第一梯隊,搶先布局先進封裝

中國半導體要趕上世界先進水平大約還需要十年時間,但封裝技術門檻相對較低,國內(nèi)發(fā)展基礎相對較好,所以封測業(yè)追趕速度比設計和制造更快。中國半導體第一個全面領先全球的企業(yè),最有可能在封測業(yè)出現(xiàn)。

成長迅速,大陸封測三巨頭快速追趕。內(nèi)生增長+外延并購雙向驅動,長電+華天+通富過去十年已經(jīng)完成了基礎框架搭建,內(nèi)生穩(wěn)步快速增長;2014年以來,相繼華天收購美國FCI,長電收購星科金朋,通富微電收購AMD蘇州和檳城兩座工廠,完成規(guī)模體量的快速擴張。

根據(jù)拓墣產(chǎn)業(yè)研究院10月份的報告顯示,在專業(yè)封測代工的部分,2017年全球前十大專業(yè)封測代工廠商營收,前五名依次為日月光、安靠、長電科技、矽品和力成,后五名依次為:天水華天、通富微電、京元電、聯(lián)測和南茂科技。長電科技、華天科技、通富微電組成大陸封測三強。

封測產(chǎn)業(yè)高端化,技術上完成國產(chǎn)替代。國內(nèi)封測產(chǎn)業(yè)已經(jīng)具備規(guī)模和技術基礎。目前大陸廠商與業(yè)內(nèi)領先廠商的技術差距正在縮小,基本已逐漸掌握最先進的技術,大陸廠商的技術劣勢已經(jīng)不明顯。業(yè)內(nèi)領導廠商最先進的技術大陸廠商基本已逐漸掌握,比如凸快技術、晶圓級封裝和3D堆疊封裝等。在應用方面,F(xiàn)C封裝技術大陸三大封測廠均已實現(xiàn)批量出貨,WLP晶圓級封裝也有億元級別的訂單,SiP系統(tǒng)級封裝的訂單量也在億元級別。

根據(jù)YoleDevelopment統(tǒng)計,2016年全球先進封裝供應商排名中,中國長電科技將以7.8%的市占率超過日月光、安靠(Amkor)、臺積電及三星等,成為全球第三大封裝供應商。

從短期看,日月光合并硅品,美國安靠收購日本J-Device,體量龐大,長電目前處于對星科金朋的整合消化期,華天和通富距離第一梯隊還有一段差距,短期難以從規(guī)模上超越。從長遠看,國內(nèi)封測技術已經(jīng)跟上全球先進步伐,隨著國內(nèi)上游芯片設計公司的崛起,下游配套晶圓建廠邏輯的兌現(xiàn),輔以國家政策和產(chǎn)業(yè)資本的支持,國內(nèi)封測企業(yè)全面超越臺系廠商,是大概率事件。

4.5、設備:星星之火,等待燎原

半導體集成電路制造過程及其復雜,需要用到的設備包括硅片制造設備、晶圓制造設備、封裝設備和輔助設備等。

硅片制造設備

以IC集成電路用的300毫米(12寸)大硅片為例,生產(chǎn)工藝流程如下:拉晶—滾磨—線切割—倒角—研磨—腐蝕—熱處理—邊緣拋光—正面拋光—清洗—外延—檢測。晶體生長設備直接決定了后續(xù)硅片的生產(chǎn)效率和質量,是硅片生產(chǎn)過程中的重中之重。硅片尺寸越大,純度越高,對生產(chǎn)工藝和設備的要求也就越高。目前國產(chǎn)單晶爐生產(chǎn)的硅片良率在50%左右,進口單晶爐能達到90%以上,國產(chǎn)設備在技術上還有較大提升空間。

晶盛機電是目前國內(nèi)唯一能生產(chǎn)大尺寸單晶爐的廠商。目前在半導體級別8英寸單晶爐領域已成功實現(xiàn)進口替代,12英寸單晶爐也進入小批量產(chǎn)階段。

晶圓制造設備

在晶圓制造中,總共有七大生產(chǎn)區(qū)域,分別是擴散(ThermalProcess)、光刻(Photo-lithography)、刻蝕(Etch)、離子注入(IonImplant)、薄膜生長(DielectricDeposition)、拋光(CMP,即化學機械拋光)、金屬化(Metalization),共涉及7大類設備:擴散爐(氧化),光刻機,刻蝕機,離子注入機,薄膜沉積設備,化學機械拋光機和清洗機。

根據(jù)SEMI的數(shù)據(jù),以一座投資規(guī)模為15億元美金的晶圓廠為例,晶圓廠70%的投資用于購買設備(約10億元美金),設備中的70%是晶圓的制造設備,封裝設備和測試設備占比約為15%和10%。晶圓制造設備中,光刻機,刻蝕機,薄膜沉積設備為核心設備,分別占晶圓制造環(huán)節(jié)設備成本的30%,25%,25%。

美日荷三國壟斷,半導體設備行業(yè)集中度非常高

全球半導體設備十強里面,只有美日荷三個國家的企業(yè)入圍。2016年前五大廠商應用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合計市場份額高達92%,其中應用材料AMAT市場占有率為24%。

荷蘭ASML幾乎壟斷了高端領域的光刻機,市場份額高達80%。ASML新出的EUV光刻機可用于試產(chǎn)7nm制程,價格高達1億美元。AMAT在CVD設備和PVD設備領域都保持領先,LamResearch是刻蝕機設備領域龍頭。

國產(chǎn)設備星星之火可以燎原

隨著我國半導體產(chǎn)業(yè)持續(xù)快速發(fā)展,國內(nèi)半導體設備業(yè)呈現(xiàn)出較快發(fā)展的勢頭。在國家科技重大專項以及各地方政府、科技創(chuàng)新專項的大力支持下,國產(chǎn)半導體設備銷售快速穩(wěn)步增長,多種產(chǎn)品實現(xiàn)從無到有的突破,甚至有些已經(jīng)通過考核進入批量生產(chǎn),在國內(nèi)集成電路大生產(chǎn)線上運行使用。

中電科:在離子注入機和CMP(化學機械拋光機)領域能力較強。

>離子注入機:2016年推出的45-22nm低能大束流離子注入機在2017年也在中芯國際產(chǎn)線進行驗證,驗證通過后,將會批量出貨,進一步提高中芯國際產(chǎn)線離子注入機國產(chǎn)化率。

>CMP:2017年11月21日,電科裝備自主研發(fā)的200mmCMP商用機完成內(nèi)部測試,發(fā)往中芯國際天津公司進行上線驗證,這是國產(chǎn)200mmCMP設備首次進入集成電路大生產(chǎn)線。

北方華創(chuàng):在氧化爐、刻蝕機、薄膜沉積設備和清洗設備領域能力較強。

>氧化爐:2017年11月30日,北方華創(chuàng)下屬子公司北方華創(chuàng)微電子自主研發(fā)的12英寸立式氧化爐THEORISO302MoveIn長江存儲生產(chǎn)線,應用于3DNANDFlash制程,擴展了國產(chǎn)立式氧化爐的應用領域。

>刻蝕機:2016年研發(fā)出了14nm工藝的硅刻蝕機,目前正在中芯國際研發(fā)的14nm工藝上驗證使用。2017年11月,研發(fā)的中國首臺適用于8英寸晶圓的金屬刻蝕機成功搬入中芯國際的產(chǎn)線。

>薄膜沉積設備:28nm級別的PVD設備和單片退火設備領域實現(xiàn)了批量出貨,14nm級別的ALD,ALPVD,LPCVD,HMPVD等多種生產(chǎn)設備正在產(chǎn)線驗證中。

>清洗機:自研的12英寸單片清洗機產(chǎn)品主要應用于集成電路芯片制程,2017年8月7日成功收購Akrion公司后,北方華創(chuàng)微電子的清洗機產(chǎn)品線將得以補充,形成涵蓋應用于集成電路、先進封裝、功率器件、微機電系統(tǒng)和半導體照明等半導體領域的8-12英寸批式和單片清洗機產(chǎn)品線。

中微半導體:在介質刻蝕機、硅通孔刻蝕機以及LED用MOCVD領域能力較強。

>介質刻蝕機:目前已經(jīng)可以做到22nm及其以下,14nm也在產(chǎn)線進行驗證,同時在推進5nm的聯(lián)合研究。

>硅通孔刻蝕機:主要用于集成電路芯片的TSV先進封裝。

>MOCVD:公司的MOCVD達到世界先進水平,實現(xiàn)了對美國的VEECO和德國的愛思強產(chǎn)品的進口替代,客戶為三安光電等led芯片廠商。截止2017年10月,其MOCVD設備PrismoA7機型出貨量已突破100臺。

上海微電子:國內(nèi)唯一的一家從事光刻機研發(fā)制造的公司。

目前制造用光刻機只能做到90nm,與主流65nm以下還有較大差距。不過,封裝使用的光刻機,達到1-2微米就可以使用,上海微電子研發(fā)制造的500系列步進投影光刻機,面向IC后道封裝和MEMS/NEMS制造領域,國內(nèi)市場占有率達80%以上。

盛美半導體:在清洗機領域能力較強。

公司的SAPS技術最高可以應用于65nm制程的硅片清洗;TEBO技術可以實現(xiàn)對FinFET,DRAM,3DNAND,實現(xiàn)覆蓋16nm-19nm的制程,產(chǎn)品已經(jīng)批量應用于上海華力微電子的產(chǎn)線。此外,公司2017年5月在合肥投資3000萬美元建立研發(fā)中心,與合肥長鑫和兆易創(chuàng)新一起開發(fā)DRAM技術。

晶盛機電在半導體級8英寸單晶爐領域已成功實現(xiàn)進口替代。捷佳偉創(chuàng)、北京京運通、天通吉成的產(chǎn)品主要應用于光伏產(chǎn)業(yè)。

此外,長川科技在分選機、檢測機領域能力較強。2016年公司擁有機臺產(chǎn)能合計400臺,產(chǎn)量448臺,銷售426臺,產(chǎn)能利用率達112%,產(chǎn)銷率95.9%,實現(xiàn)產(chǎn)銷兩旺。

4.6、材料:先易后難,沖刺大硅片

集成電路制造過程中,每一個環(huán)節(jié)都離不開化學材料,按產(chǎn)業(yè)鏈工藝環(huán)節(jié)可以將半導體材料分為晶圓制造材料和封裝材料。

晶圓制造材料包括硅片、光罩、高純化學試劑、特種氣體、光刻膠、靶材、CMP拋光液和拋光墊等。

近年隨著出貨片數(shù)成長,中國半導體制造材料營收也由2013年230億美元成長到2016年的242億美元,年復合成長率約1.8%。從細項中可看出硅晶圓銷售占比由2013年35%降到2016年的30%。根據(jù)拓墣產(chǎn)業(yè)研究院預計,2017年中國半導體材料市場,增長幅度將超過10%。

封裝材料包括引線框架、封裝基板、陶瓷封裝材料、鍵合絲、包裝材料、芯片粘結材料等,其中封裝基板是占比最大。由于中國IC產(chǎn)業(yè)的快速發(fā)展,中國本土封裝企業(yè)近年來呈現(xiàn)快速增長,帶動中國半導體封裝材料市場規(guī)??焖贁U大,智研咨詢預計中國市場半導體封裝材料2017年的市場規(guī)模為352.9億元,相比于2015年的261.3億元,增長35.06%。

國內(nèi)廠商在小尺寸硅片、光刻膠、CMP材料、濺射靶材等領域已初有成效。比如8英寸硅片領域的金瑞泓、國盛電子和有研半導體,光刻膠相關領域的江化微,靶材領域的江豐電子和阿石創(chuàng),CMP拋光材料的安集微電子和鼎龍股份。

在2016年中國半導體材料十強企業(yè)中,江豐電子、有研新材、上海新陽和江化微四家為上市公司。

江豐電子:國內(nèi)高純?yōu)R射靶材的行業(yè)龍頭,產(chǎn)品包括鋁靶、鈦靶、鉭靶、鎢鈦靶等,主要應用于超大規(guī)模集成電路芯片、液晶面板、薄膜太陽能電池制造的物理氣相沉積(PVD)工藝,用于制備電子薄膜材料。

有研新材:主要從事稀土材料、高純材料和光電材料的生產(chǎn)和經(jīng)營,子公司有研億金是國內(nèi)少有的能夠生產(chǎn)金屬靶材的企業(yè),逐步占領了國內(nèi)集成電路4-6英寸線市場的靶材,并正在進入8英寸線以上市場。

上海新陽:公司主導產(chǎn)品包括引線腳表面處理電子化學品和晶圓鍍銅、清洗電子化學品,參股子公司上海新昇是內(nèi)地唯一具備12英寸大尺寸硅片制造能力的企業(yè),目前有效產(chǎn)能為2萬片/月,已經(jīng)實現(xiàn)試生產(chǎn),項目的目標是在2018年6月達到15萬片/月的產(chǎn)能。目前,公司已經(jīng)與中芯國際、武漢新芯、華力微電子三公司簽署了采購意向性協(xié)議,銷售前景明確。

江化微:公司主要生產(chǎn)超凈高純試劑、光刻膠及光刻膠配套試劑等專用濕電子化學品。

大尺寸硅片國產(chǎn)化指日可待

除了上海新昇之外,國內(nèi)還有寧夏銀和、浙江金瑞泓、鄭州合晶、西安高新區(qū)項目等企業(yè)計劃或已開始建設12英寸大硅片的生產(chǎn)計劃,且合計月產(chǎn)能超過百萬片。

5.1、兆易創(chuàng)新:NorFlash&DRAM龍頭

公司是中國唯一的存儲芯片全平臺公司。主要產(chǎn)品為NORFlash、NANDFlash及MCU,廣泛應用于手持移動終端、消費類電子產(chǎn)品、個人電腦及周邊、網(wǎng)絡、電信設備、醫(yī)療設備、辦公設備、汽車電子及工業(yè)控制設備等各個領域。

牽手合肥產(chǎn)投,進軍DRAM領域。公司2017年10月與合肥產(chǎn)投簽署了《關于存儲器研發(fā)項目之合作協(xié)議》,將開展19nm制程工藝存儲器(含DRAM等)的研發(fā)項目,預算約為180億元人民幣,目標是在2018年底前研發(fā)成功。

入股中芯國際,戰(zhàn)略合作形成虛擬IDM。2017年11月,公司參與認購中芯國際配售股份,公司作為fabless廠與晶圓代工廠中芯國際戰(zhàn)略合作形成虛擬IDM,在產(chǎn)能上將有望優(yōu)先獲得中芯國際的支持,從而提高公司產(chǎn)品的占有率。

收購思立微,形成MCU+存儲+交互解決方案。2018年3月,公司收購國內(nèi)市場領先的智能人機交互解決方案供應商思立微,其產(chǎn)品以觸控芯片和指紋芯片等新一代智能移動終端傳感器SoC芯片為主。本次交易將一定程度上補足公司在傳感器、信號處理、算法和人機交互方面的研發(fā)技術,提升相關技術領域的產(chǎn)品化能力,在整體上形成完整的MCU+存儲+交互系統(tǒng)解決方案,為上市公司進一步快速發(fā)展注入動力。

我們認為公司牽手合肥產(chǎn)投,進軍DRAM領域;入股中芯國際,形成虛擬IDM,提高產(chǎn)能擴充能力;收購思立微,形成MCU+存儲+交互解決方案,為上市公司進一步快速發(fā)展注入動力。建議關注。

風險提示:半導體行業(yè)景氣度下降,DRAM項目發(fā)展不及預期,收購思立微協(xié)同效應不及預期。

5.2、紫光國芯:打造NAND龍頭

紫光國芯是紫光集團旗下半導體行業(yè)上市公司。紫光集團有三個上市平臺,分別為紫光股份、紫光國芯和ST紫學。紫光國芯主要產(chǎn)品包括智能芯片、特種行業(yè)集成電路和存儲器芯片。

DRAM已量產(chǎn)。公司的DRAM存儲器芯片已形成了較完整的系列,包括SDR、DDR、DDR2和DDR3,并開發(fā)相關的模組產(chǎn)品。目前,公司的DDR4內(nèi)存模組已經(jīng)開始量產(chǎn)并且能夠長期供貨。雖然目前產(chǎn)品產(chǎn)量很小,市場份額不大,但DRAM為國內(nèi)稀缺,進口替代潛力空間大。此外,公司開發(fā)完成的NANDFlash新產(chǎn)品也已開始了市場推廣。

依托長江存儲打造NAND龍頭。2016年12月,公司公告稱紫光國芯擁有收購長江存儲股權的權利;2017年7月,公司公告稱長江存儲的存儲器芯片工廠項目投資規(guī)模較大,目前尚處于建設初期,短期內(nèi)無法產(chǎn)生銷售收入,公司認為收購長江存儲股權的條件尚不夠成熟,終止收購長江存儲。我們認為,隨著條件成熟,不排除公司重啟收購的可能,屆時有望成為國內(nèi)NAND龍頭。

我們認為公司DRAM已量產(chǎn),進口替代潛力空間大;未來有望收購長江存儲,成為國內(nèi)NAND龍頭。

5.3、圣邦股份:模擬芯片龍頭

公司是國內(nèi)模擬芯片龍頭,專注于高性能、高品質模擬集成電路研發(fā)和銷售。公司的通用模擬IC產(chǎn)品性能優(yōu)良、品質卓越,可廣泛應用于智能手機、PAD、數(shù)字電視、DVD、數(shù)碼相機、筆記本電腦、可穿戴式設備、各種消費類電子產(chǎn)品以及車載電子、工業(yè)控制、醫(yī)療設備、測試儀表等眾多領域。

募投加碼電源管理類和信號鏈類模擬芯片。2017年6月6日,公司成功登陸深交所創(chuàng)業(yè)板,募集資金4.47億元,用于電源管理類模擬芯片開發(fā)及產(chǎn)業(yè)化項目、信號鏈類模擬芯片開發(fā)及產(chǎn)業(yè)化項目及研發(fā)中心建設項目等。

模擬芯片市場高速增長。根據(jù)ICInsights數(shù)據(jù)顯示,2017-2022年整體集成電路市場年復合增長率為5.1%。在集成電路市場的四大產(chǎn)品類別:模擬、邏輯、存儲和微元件中,模擬芯片市場增速最高達到6.6%。

公司發(fā)展進入快車道。一方面,作為國家重點培育和發(fā)展的戰(zhàn)略性新興產(chǎn)業(yè)的支撐和基礎,集成電路產(chǎn)業(yè)未來發(fā)展空間巨大;另一方面,公司經(jīng)過多年發(fā)展,掌握了先進的模擬芯片設計與開發(fā)技術,產(chǎn)品品質達到世界先進水平,同時還擁有豐富的上下游資源。公司有望在未來廣闊的模擬芯片行業(yè)市場搶占制高點。

我們認為公司募投加碼電源管理類和信號鏈類模擬芯片,將受益于模擬芯片市場高速增長,公司發(fā)展進入快車道。

5.4、中芯國際:晶圓代工龍頭

中芯國際是世界領先的集成電路晶圓代工企業(yè)之一,也是中國內(nèi)地規(guī)模最大、技術最先進的集成電路晶圓代工企業(yè),提供0.35微米到28納米不同技術節(jié)點的晶圓代工與技術服務。公司之前憑藉高產(chǎn)能利用率推動收入和盈利雙增長,目前已進入戰(zhàn)略轉型期,為下一階段的成長準備好技術和工廠。

技術:梁孟松效應開始顯現(xiàn),28nm與14nm進展順利。2017Q4營收中28nm占比已經(jīng)提升至11.3%。梁孟松上任后調整更新了FinFET規(guī)劃,3DFinFET工藝將鎖定高性能運算、低功耗芯片應用,目前正在積極進行中。14nm則目前于2019年上半年投產(chǎn),相關產(chǎn)品將具備更高性能、成本更低、技術導入更容易,也更容易融入設備中。

工廠:中芯南方為14nm量產(chǎn)做好準備。2018年1月,中芯國際增資中芯南方,持股比例變?yōu)?0.1%,國家大基金和上海集成電路基金分別擁有中芯南方27.04%和22.86%的股權,分別成為第二和第三大股東,預計之后6月和12月會再次進行外部注資10億美元。中芯南方產(chǎn)能就是專門為公司14nm準備,目標是產(chǎn)能達至每月35000片晶圓。

此外,公司營收來源越來越多樣化。2017年汽車和工業(yè)應用收入比2016年收入翻番。未來成長動力包括:28nm、閃存、指紋識別傳感器和電源管理芯片、汽車和工業(yè)應用等。

我們認為公司在2017年28nm產(chǎn)品明顯放量標志著其技術及良率瓶頸期突破,28nm營收貢獻將逐漸增加,未來相當長時間成為公司營收增長的主要來源。

5.5、長電科技:國內(nèi)封測龍頭

公司是國內(nèi)半導體封裝測試行業(yè)龍頭企業(yè)。通過并購星科金朋,公司躋身全球半導體封裝行業(yè)前三,同時形成了各具特色的七大基地。新加坡廠(SCS)擁有世界領先的Fan-outeWLB和高端WLCSP;韓國廠(SCK)擁有先進的SiP、高端的fcBGA、fcPoP;長電先進(JCAP)的主力產(chǎn)品有FO-WLP、WLCSP、fcBump;星科金朋江陰廠(JSSC)擁有先進的存儲器封裝;長電科技C3廠的主力產(chǎn)品有高引腳BGA、QFN產(chǎn)品和SiP模組;滁州廠以小信號分立器件、WB引線框架產(chǎn)品為主;宿遷廠以腳數(shù)較低的IC和功率器件為主。

原長電穩(wěn)定增長,星科金朋快速回升。剔除收購星科金朋,近幾年公司的營收、利潤增長穩(wěn)定。在高端領域,長電先進在全球WLCSP和Bumping的產(chǎn)能和技術上繼續(xù)保持領先優(yōu)勢;在中低端領域,滁州和宿遷廠產(chǎn)品結構的進一步調整和產(chǎn)能利用率的提升。JSCK(長電韓國)得益于SIP等先進封裝新產(chǎn)品開發(fā)進展順利,訂單回流效果顯著。星科金朋已完成上海廠向無錫搬遷工作,結束兩地生產(chǎn)運營,將大幅降低相關費用,盈利能力有望快速回升。

公司的封測龍頭地位將更加穩(wěn)固。一方面,通過收購,長電科技的規(guī)模優(yōu)勢和星科金朋的技術和客戶優(yōu)勢實現(xiàn)互補,隨著整合進程逐步完成,協(xié)同效應逐漸顯現(xiàn)。另一方面,通過定增,大基金成為第一大股東,中芯國際成為第二大股東,虛擬IDM形式初露端倪,未來中芯國際和長電科技的上下游配套協(xié)同發(fā)展值得期待。全球半導體行業(yè)景氣度高企和全球晶圓廠向國內(nèi)轉移是公司業(yè)績增長的重要驅動力,將使得長電科技的封測龍頭地位更加穩(wěn)固。

我們認為公司作為國內(nèi)封測龍頭,原長電保持穩(wěn)定增長,星科金朋整合穩(wěn)步推進,與中芯國際戰(zhàn)略合作,未來有望率先受益于行業(yè)景氣度高企和晶圓廠向大陸轉移,驅動公司快速發(fā)展。

5.6、華天科技:國內(nèi)封測第二

公司集成電路年封裝規(guī)模和銷售收入均位列我國同行業(yè)上市公司第二位。公司三地布局,三地定位不同、技術不同、客戶不同,形成從低端、中高端到先進封裝的全覆蓋。天水廠夯實傳統(tǒng)引線框架封裝,進一步發(fā)揮規(guī)模優(yōu)勢;西安廠主攻QFN和BGA等中高端封裝,導入指紋識別、MEMS、CPU等新產(chǎn)品封裝;昆山廠布局TSV、Bumping及FOWLP等先進封裝技術。

掌握多種先進封裝技術。公司通過實施國家科技重大專項02專項等科技創(chuàng)新項目以及新產(chǎn)品、新技術、新工藝的不斷研究開發(fā),自主研發(fā)出FC、Bumping、MEMS、MCM(MCP)、WLP、SiP、TSV、Fan-Out等多項集成電路先進封裝技術和產(chǎn)品,隨著公司進一步加大技術創(chuàng)新力度,公司的技術競爭優(yōu)勢將不斷提升。

新建產(chǎn)能釋放促進公司發(fā)展。公司三大募投項目到2017上半年分別完成了94.76%、98.08%和83.91%,非募投項目《FC+WB集成電路封裝產(chǎn)業(yè)化項目》完成了98.30%,投資順利、進展迅速,體現(xiàn)了公司優(yōu)秀的項目把控能力。隨著新建產(chǎn)能的釋放,公司未來一段時期將繼續(xù)保持強勁增長。

我們認為公司已研發(fā)出多種先進封裝技術,隨著新建產(chǎn)能釋放,公司業(yè)績有望保持強勁的增長。

5.7、揚杰科技:分立器件龍頭

公司是國內(nèi)分立器件IDM龍頭,主要產(chǎn)品包括二極管、整流橋、電力電子模塊等半導體功率器件,主要用于汽車電子、LED照明、太陽能光伏、通訊電源、開關電源、家用電器等多個領域。

內(nèi)生驅動產(chǎn)品不斷升級。公司4寸產(chǎn)線產(chǎn)能擴產(chǎn)一倍,效率不斷提升;6寸產(chǎn)線已于2017年底實現(xiàn)盈虧平衡,2018年底可做到第一期滿產(chǎn),有望持續(xù)提升盈利能力;戰(zhàn)略布局8寸線,MOSFET產(chǎn)品進展順利,最終目標實現(xiàn)IGBT芯片和IPM功率的模組突破。

外延驅動向上游整合。2017年12月,公司收購成都青洋電子,獲得穩(wěn)定外延片供應,將IDM模式再向上游擴展。成都青洋年產(chǎn)1200萬片8英寸以下單晶硅切片、磨片和化學腐蝕片的生產(chǎn)線,產(chǎn)品質量及性能位于行業(yè)領先水平。業(yè)績承諾實現(xiàn)凈利潤為:2018年不低于1280萬元,2019年不低于1480萬元。

下游需求旺盛助力公司發(fā)展。隨著光伏及新能源汽車等下游行業(yè)發(fā)展迅速,功率半導體市場需求旺盛。公司在光伏及新能源汽車領域深耕多年,產(chǎn)線對照行業(yè)標準建立,并且建立了好良好的客戶關系,有望快速導入相關產(chǎn)品。此外,公司戰(zhàn)略布局高端SiC芯片及器件,未來有望打開千億級市場空間。

我們認為公司內(nèi)生驅動產(chǎn)品不斷升級,外延驅動向上游整合青洋電子,延伸到材料領域,下游需求旺盛將助力公司發(fā)展。

5.8、北方華創(chuàng):設備龍頭

北方華創(chuàng)是由七星電子和北方微電子戰(zhàn)略重組而成,是目前國內(nèi)集成電路高端工藝裝備的龍頭企業(yè)。公司擁有半導體裝備、真空裝備、新能源鋰電裝備及精密元器件四個事業(yè)群,為半導體、新能源、新材料等領域提供全方位整體解決方案。公司半導體裝備產(chǎn)品包括刻蝕設備、PVD設備、CVD設備、氧化/擴散設備、清洗設備、新型顯示設備、氣體質量流量控制器等。

半導體裝備各產(chǎn)品齊頭并進。氧化爐:2017年11月30日,公司自主研發(fā)的12英寸立式氧化爐THEORISO302MoveIn長江存儲生產(chǎn)線,應用于3DNANDFlash制程,擴展了國產(chǎn)立式氧化爐的應用領域。刻蝕機:2016年研發(fā)出了14nm工藝的硅刻蝕機,目前正在中芯國際研發(fā)的14nm工藝上驗證使用。2017年11月,研發(fā)的中國首臺適用于8英寸晶圓的金屬刻蝕機成功搬入中芯國際的產(chǎn)線。薄膜沉積設備:28nm級別的PVD設備和單片退火設備領域實現(xiàn)了批量出貨,14nm級別的ALD,ALPVD,LPCVD,HMPVD等多種生產(chǎn)設備正在產(chǎn)線驗證中。清洗機:自研的12英寸單片清洗機產(chǎn)品主要應用于集成電路芯片制程,2017年8月成功收購Akrion公司后,公司的清洗機產(chǎn)品線將得以補充,形成涵蓋應用于集成電路、先進封裝、功率器件、微機電系統(tǒng)和半導體照明等半導體領域的8-12英寸批式和單片清洗機產(chǎn)品線。

真空裝備、鋰電裝備、精密元器件穩(wěn)定發(fā)展。真空裝備:隨著新材料行業(yè)的發(fā)展,對超高溫、超高壓真空設備需求量的增加,目前公司已有多款產(chǎn)品面向新材料行業(yè)推出,已大量應用于國內(nèi)、外一流企業(yè)。應用于光伏產(chǎn)業(yè)的單晶爐,公司進行了升級換代,裝料量實現(xiàn)了從50KG到300KG里程碑式的跨越,市場競爭力顯著提升。鋰電裝備:目前,公司已經(jīng)為全國95%以上的鋰離子電池研究院所、生產(chǎn)企業(yè)提供了電池制造裝備,隨著新能源汽車行業(yè)快速發(fā)展,鋰電裝備業(yè)務有望深度收益。精密元器件:近年來,通過自主創(chuàng)新開發(fā)的高精密高穩(wěn)定金屬膜固定電阻器、雙極性片式鉭電容器、石英晶體振蕩器、石英MEMS陀螺、負載點電源模塊等產(chǎn)品,以優(yōu)異的性能獲得各界客戶的信賴,不斷實現(xiàn)進口替代。

我們認為公司作為半導體設備龍頭,各產(chǎn)品齊頭并進,真空裝備、鋰電裝備、精密元器件穩(wěn)定發(fā)展。

5.9、長川科技:封測設備龍頭

公司是國內(nèi)半導體封測設備龍頭,目前主要產(chǎn)品有兩類,分別是測試機和分選機。

產(chǎn)品高性價比贏得客戶。公司產(chǎn)品主要面向下游封裝測試企業(yè)、晶圓制造企業(yè)、芯片設計企業(yè)和測試代工廠等。在優(yōu)異性能和高性價比等優(yōu)勢的加持下,已獲得國內(nèi)外客戶的使用和認可。傳統(tǒng)客戶包括長電科技、華天科技、通富微電、士蘭微、華潤微電子等,國際龍頭大廠日月光亦開始導入公司測試和分選設備,將為公司有效打開國際市場。

三大能力持續(xù)加強。1)新產(chǎn)品生產(chǎn)能力:積極拓展探針臺、數(shù)字測試機等一系列新產(chǎn)品,深化客戶服務的廣度,打造完整的解決方案體系;2)新技術研發(fā)能力:面向未來五大方向開展前沿研究,包括模擬IC測試技術、高壓大功率測試技術、數(shù)字測試技術、多類別自動測試技術、多維度高速高精定位技術。通過以上技術的研發(fā),公司將在測試技術演進的高速化、一體化、智能化過程中,優(yōu)先卡位前沿發(fā)展方向,不斷拓寬設備的測試范圍;3)積極擴展技術和銷售服務團隊,保證客戶精準覆蓋,快速提升響應能力。

我們認為國內(nèi)半導體產(chǎn)業(yè)處于加速發(fā)展階段,晶圓廠建設大幅提速,封測國產(chǎn)化進程加快,資本開支規(guī)模放大,公司的封測設備國產(chǎn)替代空間大。同時,公司未來將滲透更多的測試類相關產(chǎn)品,包括晶圓檢測用探針臺,封裝用倒裝機、預封裝切割機等新設備,發(fā)展空間廣闊。

5.10、江豐電子:濺射靶材龍頭

公司是國內(nèi)濺射靶材龍頭。公司主要產(chǎn)品為集成電路芯片制造用超高純金屬材料及濺射靶材,填補了國內(nèi)的技術空白,打破了美、日跨國公司的壟斷。濺射靶材產(chǎn)品包括鋁靶、鈦靶、鉭靶、鎢鈦靶等,主要應用于超大規(guī)模集成電路芯片、液晶面板、薄膜太陽能電池制造的物理氣相沉積(PVD)工藝,用于制備電子薄膜材料。

靶材產(chǎn)品質量卓越,獲臺積電認可。公司從2009年開始和臺積電建立合作關系,持續(xù)向臺積電6寸、8寸和12寸晶圓廠供應靶材。公司堅持技術創(chuàng)新和產(chǎn)品升級,持續(xù)向臺積電供應優(yōu)質的半導體濺射靶材,依靠卓越的品質和服務,依托緊密的技術交流,與臺積電建立了相互信任、合作共贏的良好戰(zhàn)略關系,不斷擴大在臺積電的市場份額。公司在臺積電2017年第三季度靶材供應商品質評比中位列第一名。

國產(chǎn)化CMPPad贏得首張訂單。CMP研磨墊具有產(chǎn)品驗證周期長、國外寡頭壟斷等特點。2016年公司與美國嘉柏合作CMPPad項目,并成功取得了本土主流芯片生產(chǎn)廠商的認證。2017年11月,公司贏得了第一張國產(chǎn)CMP研磨墊的訂單。

我們認為公司作為國內(nèi)濺射靶材龍頭,靶材產(chǎn)品質量卓越獲臺積電認可,國產(chǎn)化CMPPad贏得首張訂單,有望推動公司業(yè)績增長。建議關注。

風險提示:半導體行業(yè)景氣度下降,公司CMPPad產(chǎn)品推進不及預期。

5.11、上海新陽:材料龍頭

公司是國內(nèi)半導體材料龍頭企業(yè)。公司主要產(chǎn)品包括引線腳表面處理電子化學品和晶圓鍍銅、清洗電子化學品,可廣泛應用于半導體制造、封裝領域。

持續(xù)鞏固半導體材料龍頭地位。公司逐步形成了其在晶圓級封裝領域材料和設備的配套優(yōu)勢。在傳統(tǒng)封裝領域,公司晶圓劃片刀產(chǎn)品從2017年開始逐步放量,已經(jīng)實現(xiàn)盈利。在半導體制造領域,晶圓化學品持續(xù)放量繼續(xù)保持高速增長,晶圓化學品已經(jīng)進入中芯國際、無錫海力士、華力微電子、通富微電、蘇州晶方、長電先進封裝等客戶,其中在芯片銅互連電鍍液產(chǎn)品方面已經(jīng)成為中芯國際28nm技術節(jié)點的Baseline,無錫海力士32nm技術節(jié)點的Baseline;用于晶圓制程的銅制程清洗液和鋁制程清洗液也都分別開始供貨。此外,已經(jīng)被***積體電路制造公司(TSMC)列入合格供應商名錄,并正在進行產(chǎn)品驗證。在IC封裝基板領域,上海新陽的電鍍銅添加劑產(chǎn)品仍處于少量供貨階段。另外,參股子公司新陽硅密(上海)半導體技術有限公司的晶圓濕制程設備已經(jīng)進入中芯國際等客戶。

大硅片項目值得期待。公司參股子公司上海新昇半導體科技有限公司300mm大硅片項目,一期15萬片/月的產(chǎn)能,預計在2018年年中實現(xiàn)達產(chǎn)。總規(guī)劃產(chǎn)能為60萬片/月,預計在2021年實現(xiàn)滿產(chǎn)。公司從2017年第二季度已經(jīng)開始向中芯國際等芯片代工企業(yè)提供樣片進行認證,擋片、陪片、測試片等產(chǎn)品已實現(xiàn)銷售。目前,公司已經(jīng)與中芯國際、武漢新芯、華力微電子三家公司簽署了采購意向性協(xié)議,如果大硅片進展順利,將有望成為公司業(yè)績增長的重要驅動力。

我們認為公司在半導體材料領域龍頭地位顯著,各項產(chǎn)品進展順利;大硅片項目值得期待,將有望成為公司業(yè)績增長的重要驅動力。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 傳感器
    +關注

    關注

    2541

    文章

    49963

    瀏覽量

    747525
  • 芯片
    +關注

    關注

    450

    文章

    49636

    瀏覽量

    417195
  • 二極管
    +關注

    關注

    147

    文章

    9410

    瀏覽量

    164421
  • 半導體
    +關注

    關注

    334

    文章

    26331

    瀏覽量

    210049

原文標題:光大證券:中國芯片產(chǎn)業(yè)深度分析(一文看懂國產(chǎn)芯片現(xiàn)狀)

文章出處:【微信號:Interflow-Platform,微信公眾號:WPR】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    中國芯片市場

    產(chǎn)生龐大的收益。但同樣的,毛利呈現(xiàn)負數(shù),再加上市場風險,也會造成巨大的損失。 想賺錢并不容易。最近份針對分布于10項行業(yè)的25家中國公司所作的調查報告指出,中國芯片消費者對其芯片制造
    發(fā)表于 05-31 10:59

    中國內(nèi)地芯片產(chǎn)業(yè)勢頭直逼美國

    來源速途網(wǎng)  路透社周二刊稱,隨著***加大對芯片設計的開發(fā)扶持力度,中國內(nèi)地芯片產(chǎn)業(yè)的發(fā)展勢頭直逼美國和***地區(qū),全球話語權逐步增強。
    發(fā)表于 06-29 11:17

    芯片為何難做?淺談中國芯片現(xiàn)狀

    、歐等發(fā)達國家處于技術領先地位,芯片研發(fā)相對落后的國家,短時間內(nèi)追趕有難度?!?b class='flag-5'>中國芯”正加速追趕目前,全球高端芯片市場幾乎被美、歐等先進企業(yè)占領。但加速研發(fā)國產(chǎn)自主
    發(fā)表于 09-20 08:00

    九芯語音芯片中國芯片產(chǎn)業(yè)正迎頭趕上!

    的影響。盡管并不是每筆投資都能得到有效利用,但我認為,未來我們會看到越來越多中國智能型手機使用國產(chǎn)芯片?!?b class='flag-5'>文肯堡說道。不過,幾乎無上限的資
    發(fā)表于 09-07 15:40

    中國芯片產(chǎn)業(yè)深度分析,面對強勢的高通誰敢反擊?

    今年以來中國芯片產(chǎn)業(yè)受到了前所未有的關注,這也許要感激特朗普給我國民眾普及了芯片知識。從中國芯片
    的頭像 發(fā)表于 06-14 10:08 ?7368次閱讀

    中國芯片技術現(xiàn)狀分析_中國芯片發(fā)展趨勢

    中美貿(mào)易摩擦的大背景下,美國這次對中興通訊下重手,也揭開了中國半導體行業(yè)之殤。那么,中國芯片技術現(xiàn)狀如何?別急,且聽我慢慢道來。
    發(fā)表于 07-18 10:40 ?8.4w次閱讀

    國產(chǎn)芯片和發(fā)達國家的芯片差距有多大?我們離“中國芯”有多遠?

    國產(chǎn)芯片和發(fā)達國家的芯片差距有多大?我們離“中國芯”有多遠?芯片的發(fā)展趨勢如何?7月20日在珠江科學大講堂上,廣東省半導體
    的頭像 發(fā)表于 07-24 15:46 ?2.5w次閱讀

    華為海思芯片受到重擊,推動中國芯片產(chǎn)業(yè)的繁榮

    華為海思芯片眼下面臨的困難眾所周知,這對于中國芯片產(chǎn)業(yè)來說可謂是個打擊,不過人那個人意外的是這非但沒能阻止中國芯片
    的頭像 發(fā)表于 08-22 10:02 ?7756次閱讀

    中國半導體產(chǎn)業(yè)芯片國產(chǎn)化正在加速

    美國限制芯片出口的政策,引起了不少國人的震動,更推動了中國芯片產(chǎn)業(yè)快速發(fā)展,希望國產(chǎn)芯片能早日擺脫“卡脖子”的問題。
    的頭像 發(fā)表于 02-01 15:19 ?3887次閱讀

    中國芯片現(xiàn)狀最新消息

    中國芯片現(xiàn)狀最新消息:我國擁有許多優(yōu)秀的半導體芯片企業(yè)公司,自從華為芯片產(chǎn)能受限之后,中國半導體的現(xiàn)狀
    的頭像 發(fā)表于 12-10 15:21 ?6.9w次閱讀

    中國芯片發(fā)展現(xiàn)狀和趨勢

    中國芯片發(fā)展現(xiàn)狀和趨勢如何?下面我們就起來看看。從美國對華為的制裁以及疫情影響導致全球缺芯,這些致命因素無疑加重了我國集成電路業(yè)的發(fā)展,我國部分高端芯片和元器件短期內(nèi)無法實現(xiàn)
    的頭像 發(fā)表于 12-14 10:22 ?4.2w次閱讀

    中國芯片現(xiàn)狀最新消息如何

    芯片半導體直都是我國的短板,所以很多時候我們都需要依賴國外的芯片供給才能滿足需求,近幾年我國也是大力發(fā)展半導體,那么我們一起來看看中國芯片現(xiàn)狀
    的頭像 發(fā)表于 12-19 14:51 ?1.7w次閱讀

    中國芯片現(xiàn)狀與未來

    大家都知道,芯片直都是我國的短板,不過在最近幾年,我國直都在大力發(fā)展半導體芯片行業(yè),那么中國芯片現(xiàn)
    的頭像 發(fā)表于 12-24 13:57 ?6.8w次閱讀

    中國芯片的發(fā)展前景

    。然而,面對國際競爭和技術創(chuàng)新的雙重壓力,中國芯片產(chǎn)業(yè)仍需在多個方面加強努力,以實現(xiàn)可持續(xù)發(fā)展。 二、中國芯片產(chǎn)業(yè)的發(fā)展現(xiàn)狀 1.
    的頭像 發(fā)表于 07-31 16:51 ?3192次閱讀

    中國芯片的發(fā)展前景

    。然而,面對國際競爭和技術創(chuàng)新的雙重壓力,中國芯片產(chǎn)業(yè)仍需在多個方面加強努力,以實現(xiàn)可持續(xù)發(fā)展。 、中國芯片產(chǎn)業(yè)的發(fā)展
    的頭像 發(fā)表于 08-02 11:27 ?7555次閱讀