0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字設(shè)計FPGA應(yīng)用:硬件描述語言與VIVADO

電子硬件DIY視頻 ? 來源:電子硬件DIY視頻 ? 2019-12-05 07:06 ? 次閱讀

本課程以目前流行的Xilinx 7系列FPGA的開發(fā)為主線,全面講解FPGA的原理及電路設(shè)計、Verilog HDL語言及VIVADO的應(yīng)用,并循序漸進(jìn)地從組合邏輯、時序邏輯的開發(fā)開始,深入到FPGA的基礎(chǔ)應(yīng)用、綜合應(yīng)用和進(jìn)階應(yīng)用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598877
  • Verilog HDL
    +關(guān)注

    關(guān)注

    17

    文章

    125

    瀏覽量

    50315
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853
收藏 人收藏

    評論

    相關(guān)推薦

    淺析嵌入式FPGA與HDL硬件描述語言

    )領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。HDL硬件描述語言(HDL)是一種用來設(shè)計數(shù)字邏輯系統(tǒng)和描述
    發(fā)表于 12-22 07:39

    Verilog硬件描述語言描述.

    本書簡要介紹了Verilog硬件描述語言的基礎(chǔ)知識,包括語言的基本內(nèi)容和基本結(jié)構(gòu) ,以及利用該語言在各種層次上對數(shù)字系統(tǒng)的建模方法
    發(fā)表于 03-27 23:44 ?101次下載

    VHDL硬件描述語言教學(xué).

    VHDL硬件描述語言教學(xué):包括fpga講義,VHDL硬件描述語言基礎(chǔ),VHDL語言的層次化設(shè)計的
    發(fā)表于 03-27 23:46 ?93次下載

    vhdl硬件描述語言(教材課件)

    數(shù)字系統(tǒng)設(shè)計分為硬件設(shè)計和軟件設(shè)計, 但是隨著計算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD、FPGA)的發(fā)展和硬件描述語言(HDL, Hardwa
    發(fā)表于 09-11 15:15 ?91次下載
    vhdl<b class='flag-5'>硬件</b><b class='flag-5'>描述語言</b>(教材課件)

    VHDL硬件描述語言數(shù)字邏輯電路設(shè)計

    VHDL硬件描述語言數(shù)字邏輯電路設(shè)計:本書系統(tǒng)地介紹了一種硬件描述語言,即VHDL語言設(shè)計
    發(fā)表于 02-06 16:55 ?363次下載

    verilog硬件描述語言課程講義

    verilog硬件描述語言課程講義
    發(fā)表于 05-21 15:01 ?33次下載
    verilog<b class='flag-5'>硬件</b><b class='flag-5'>描述語言</b>課程講義

    VHDL硬件描述語言

    VHDL語言編程學(xué)習(xí)之VHDL硬件描述語言
    發(fā)表于 09-01 15:27 ?0次下載

    Verilog硬件描述語言

    VHDL語言編程學(xué)習(xí)Verilog硬件描述語言
    發(fā)表于 09-01 15:27 ?0次下載

    硬件描述語言VHDL

    硬件描述語言VHDL的學(xué)習(xí)文檔,詳細(xì)的介紹了VHDL
    發(fā)表于 09-02 17:00 ?12次下載

    FPGA教程之AHDL硬件描述語言的詳細(xì)資料說明

    本文檔詳細(xì)介紹的是FPGA教程之AHDL硬件描述語言的詳細(xì)資料說明主要內(nèi)容包括了:1 硬件描述語言(HDL)概述,2 Altera 的
    發(fā)表于 02-27 17:27 ?21次下載
    <b class='flag-5'>FPGA</b>教程之AHDL<b class='flag-5'>硬件</b><b class='flag-5'>描述語言</b>的詳細(xì)資料說明

    基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載:1995、2001、2005;SystemVerilog標(biāo)準(zhǔn):2005、2009
    發(fā)表于 06-18 08:00 ?10次下載

    硬件描述語言FPGA的具體關(guān)系

    按鍵是FPGA設(shè)計當(dāng)中最常用也是最簡單的外設(shè),本章通過按鍵檢測實驗,檢測開發(fā)板的按鍵功能是否正常,并了解硬件描述語言FPGA的具體關(guān)系,學(xué)習(xí)Viv
    的頭像 發(fā)表于 02-08 17:27 ?804次閱讀
    <b class='flag-5'>硬件</b><b class='flag-5'>描述語言</b>和<b class='flag-5'>FPGA</b>的具體關(guān)系

    CN0540硬件描述語言設(shè)計

    CN0540硬件描述語言設(shè)計
    發(fā)表于 03-23 00:07 ?4次下載
    CN0540<b class='flag-5'>硬件</b><b class='flag-5'>描述語言</b>設(shè)計

    使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計

    HDLBits 是一組小型電路設(shè)計習(xí)題集,使用 Verilog/SystemVerilog 硬件描述語言 (HDL) 練習(xí)數(shù)字硬件設(shè)計~
    的頭像 發(fā)表于 08-31 09:06 ?1497次閱讀

    基于硬件描述語言HDL的FPGA開發(fā)

    基于硬件描述語言HDL,抽象出HLS(High-Level Synthesis)(翻譯為高層次綜合?怎么聽起來都沉得別扭)技術(shù),通過高層設(shè)計去隱藏很多底層邏輯和細(xì)節(jié),讓FPGA的開發(fā)更加簡單。
    發(fā)表于 09-05 09:12 ?807次閱讀