0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

正點(diǎn)原子開拓者FPGA Qsys視頻:uCOS II消息郵箱和消息隊(duì)列

電子硬件DIY視頻 ? 來源:電子硬件DIY視頻 ? 2019-09-17 07:04 ? 次閱讀

uC/OS-II目標(biāo)是實(shí)現(xiàn)一個(gè)基于優(yōu)先級調(diào)度的搶占式的實(shí)時(shí)內(nèi)核,并在這個(gè)內(nèi)核之上提供最基本的系統(tǒng)服務(wù),如信號量,郵箱,消息隊(duì)列,內(nèi)存管理,中斷管理等。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598931
  • 內(nèi)核
    +關(guān)注

    關(guān)注

    3

    文章

    1336

    瀏覽量

    40084
收藏 人收藏

    評論

    相關(guān)推薦

    分享正點(diǎn)原子FPGA開發(fā)板全套資料

    本帖最后由 100dongdong 于 2020-5-16 23:48 編輯 正點(diǎn)原子FPGA開拓者開發(fā)板,Intel(Altera) FPG
    發(fā)表于 05-16 23:35

    正點(diǎn)開拓者FPGA開發(fā)板使用問題

    求問各位大佬,剛剛?cè)腴T正點(diǎn)開拓者FPGA開發(fā)板,用板載pcf8591采集信號發(fā)生器單一頻率正弦波,再用ip核做fft,結(jié)果和matlab上fft不一樣,請問是怎么回事呢?
    發(fā)表于 01-04 09:34

    正點(diǎn)原子開拓者FPGA Qsys視頻uCOS II信號量(2)

    μC/OS 和μC/OS-II 是專門為計(jì)算機(jī)的嵌入式應(yīng)用設(shè)計(jì)的, 絕大部分代碼是用C語言編寫的。CPU 硬件相關(guān)部分是用匯編語言編寫的、總量約200行的匯編語言部分被壓縮到最低限度,為的是便于移植
    的頭像 發(fā)表于 09-18 07:05 ?1331次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:<b class='flag-5'>uCOS</b> <b class='flag-5'>II</b>信號量(2)

    正點(diǎn)原子開拓者FPGA Qsys視頻:uC/GUI圖片/數(shù)字顯示實(shí)驗(yàn)

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻。
    的頭像 發(fā)表于 09-18 07:04 ?1872次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:uC/GUI圖片/數(shù)字顯示實(shí)驗(yàn)

    正點(diǎn)原子開拓者FPGA開發(fā)板配套視頻FPGA是什么

    正點(diǎn)原子開拓者FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:02 ?2111次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b>開發(fā)板配套<b class='flag-5'>視頻</b>:<b class='flag-5'>FPGA</b>是什么

    正點(diǎn)原子開拓者FPGA開發(fā)板配套視頻(1)

    正點(diǎn)原子開拓者FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:00 ?1947次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b>開發(fā)板配套<b class='flag-5'>視頻</b>(1)

    正點(diǎn)原子開拓者FPGA視頻:Quartus II軟件的使用

      Quartus II 是Altera公司的綜合性CPLD/FPGA開發(fā)軟件,原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description
    的頭像 發(fā)表于 09-19 07:07 ?3672次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b><b class='flag-5'>視頻</b>:Quartus <b class='flag-5'>II</b>軟件的使用

    正點(diǎn)原子開拓者FPGA Qsys視頻uCOS II任務(wù)管理與時(shí)間管理(2)

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻
    的頭像 發(fā)表于 09-17 07:10 ?1355次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:<b class='flag-5'>uCOS</b> <b class='flag-5'>II</b>任務(wù)管理與時(shí)間管理(2)

    正點(diǎn)原子開拓者FPGA Qsys視頻uCOS II信號量

    uC/OS-II只是一個(gè)實(shí)時(shí)操作系統(tǒng)內(nèi)核,它僅僅包含了任務(wù)調(diào)度,任務(wù)管理,時(shí)間管理,內(nèi)存管理和任務(wù)間的通信和同步等基本功能。沒有提供輸入輸出管理,文件系統(tǒng),網(wǎng)絡(luò)等額外的服務(wù)。但由于uC/OS-II良好的可擴(kuò)展性和源碼開放,這些非必須的功能完全可以由用戶自己根據(jù)需要分別實(shí)現(xiàn)
    的頭像 發(fā)表于 09-17 07:09 ?1407次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:<b class='flag-5'>uCOS</b> <b class='flag-5'>II</b>信號量

    正點(diǎn)原子開拓者FPGA Qsys視頻:創(chuàng)建第一個(gè)uCOS II系統(tǒng)

    μC/OS-II由Micrium公司提供,是一個(gè)可移植、可固化的、可裁剪的、占先式多任務(wù)實(shí)時(shí)內(nèi)核,它適用于多種微處理器,微控制器和數(shù)字處理芯片(已經(jīng)移植到超過100種以上的微處理器應(yīng)用中)。同時(shí),該系統(tǒng)源代碼開放、整潔、一致,注釋詳盡,適合系統(tǒng)開發(fā)。
    的頭像 發(fā)表于 09-17 07:06 ?1181次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:創(chuàng)建第一個(gè)<b class='flag-5'>uCOS</b> <b class='flag-5'>II</b>系統(tǒng)

    正點(diǎn)原子開拓者FPGA Qsys視頻uCOS II任務(wù)管理與時(shí)間管理

    μC/OS-II由Micrium公司提供,是一個(gè)可移植、可固化的、可裁剪的、占先式多任務(wù)實(shí)時(shí)內(nèi)核,它適用于多種微處理器,微控制器和數(shù)字處理芯片(已經(jīng)移植到超過100種以上的微處理器應(yīng)用中)。同時(shí)
    的頭像 發(fā)表于 09-17 07:03 ?1130次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:<b class='flag-5'>uCOS</b> <b class='flag-5'>II</b>任務(wù)管理與時(shí)間管理

    正點(diǎn)原子開拓者FPGA Qsys視頻:自定義IP核之?dāng)?shù)碼管(2)

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻
    的頭像 發(fā)表于 09-16 07:07 ?2742次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:自定義IP核之?dāng)?shù)碼管(2)

    正點(diǎn)原子開拓者FPGA Qsys視頻:PIO按鍵控制LED

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻。
    的頭像 發(fā)表于 09-16 07:06 ?2720次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:PIO按鍵控制LED

    正點(diǎn)原子開拓者FPGA Qsys視頻:PIO IRQ

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻。
    的頭像 發(fā)表于 09-16 07:04 ?1458次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:PIO IRQ

    正點(diǎn)原子開拓者FPGA Qsys視頻:Hello World

    該課程是正點(diǎn)原子團(tuán)隊(duì)編寫,詳細(xì)講解了quartus中的qsys。也可以從我頭像點(diǎn)進(jìn)去看FPGA verilog相關(guān)的視頻。
    的頭像 發(fā)表于 09-12 07:09 ?3525次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b> <b class='flag-5'>Qsys</b><b class='flag-5'>視頻</b>:Hello World