0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

正點(diǎn)原子FPGA之基礎(chǔ)外設(shè):按鍵控制LED實(shí)驗(yàn)

電子硬件DIY視頻 ? 來源:電子硬件DIY視頻 ? 2019-09-06 06:03 ? 次閱讀

按鍵的基本原理是設(shè)置單片機(jī)IO口(PB0-PB3)為輸入狀態(tài),如DDRB = 0XF0(方向寄存器,“1”為輸出,“0”為輸入);

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598908
  • led
    led
    +關(guān)注

    關(guān)注

    240

    文章

    22907

    瀏覽量

    650115
  • 按鍵
    +關(guān)注

    關(guān)注

    4

    文章

    222

    瀏覽量

    57491
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    STM32按鍵控制LED的亮滅

    使用一個(gè)按鍵控制LED的亮滅,按鍵按下時(shí)LED亮起,按鍵松開時(shí)LED熄滅。通過
    的頭像 發(fā)表于 12-11 14:19 ?3098次閱讀
    STM32<b class='flag-5'>按鍵控制</b><b class='flag-5'>LED</b>的亮滅

    正點(diǎn)原子FPGA連載】第七章按鍵控制LED閃爍實(shí)驗(yàn)-領(lǐng)航者ZYNQFPGA開發(fā)指南

    原子公眾號(hào),獲取最新資料第七章按鍵控制LED閃爍實(shí)驗(yàn)按鍵是常用的一種控制器件。生活中我們可以見到
    發(fā)表于 09-21 16:57

    正點(diǎn)原子FPGA連載】第九章觸摸按鍵控制LED實(shí)驗(yàn)-領(lǐng)航者ZYNQFPGA開發(fā)指南

    原子公眾號(hào),獲取最新資料第九章觸摸按鍵控制LED實(shí)驗(yàn)隨著電子技術(shù)的不斷發(fā)展,按鍵的應(yīng)用場景越來越廣泛。觸摸
    發(fā)表于 09-22 16:40

    正點(diǎn)原子FPGA基礎(chǔ)外設(shè):程序設(shè)計(jì)

    正點(diǎn)原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-06 06:10 ?2054次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>之</b>基礎(chǔ)<b class='flag-5'>外設(shè)</b>:程序設(shè)計(jì)

    正點(diǎn)原子FPGA基礎(chǔ)外設(shè):紅外遙控實(shí)驗(yàn)

    正點(diǎn)原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-06 06:07 ?3343次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>之</b>基礎(chǔ)<b class='flag-5'>外設(shè)</b>:紅外遙控<b class='flag-5'>實(shí)驗(yàn)</b>

    正點(diǎn)原子FPGA基礎(chǔ)外設(shè):流水燈實(shí)驗(yàn)

    正點(diǎn)原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-06 06:06 ?3236次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>之</b>基礎(chǔ)<b class='flag-5'>外設(shè)</b>:流水燈<b class='flag-5'>實(shí)驗(yàn)</b>

    正點(diǎn)原子FPGA基礎(chǔ)外設(shè)按鍵控制蜂鳴器實(shí)驗(yàn)

    正點(diǎn)原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-06 06:04 ?2457次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>之</b>基礎(chǔ)<b class='flag-5'>外設(shè)</b>:<b class='flag-5'>按鍵控制</b>蜂鳴器<b class='flag-5'>實(shí)驗(yàn)</b>

    正點(diǎn)原子FPGA基礎(chǔ)外設(shè):紅外遙控簡介

    正點(diǎn)原子FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-06 06:02 ?3658次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b><b class='flag-5'>之</b>基礎(chǔ)<b class='flag-5'>外設(shè)</b>:紅外遙控簡介

    FPGA入門系列實(shí)驗(yàn)教程之按鍵控制LED亮滅的詳細(xì)資料說明

    實(shí)現(xiàn)按鍵控制LED亮滅。通過這個(gè)實(shí)驗(yàn),掌握采用Verilog HDL語言編程實(shí)現(xiàn)按鍵控制LED亮滅方法。
    發(fā)表于 06-11 16:50 ?31次下載
    <b class='flag-5'>FPGA</b>入門系列<b class='flag-5'>實(shí)驗(yàn)</b>教程之<b class='flag-5'>按鍵控制</b><b class='flag-5'>LED</b>亮滅的詳細(xì)資料說明

    正點(diǎn)原子FPGA連載】第二十五章HDMI方塊移動(dòng)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第二十五章HDMI方塊移動(dòng)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)
    發(fā)表于 11-24 14:36 ?13次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第二十五章HDMI方塊移動(dòng)<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第九章按鍵控制LED實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第九章按鍵控制LED實(shí)驗(yàn) -摘自【
    發(fā)表于 12-04 13:06 ?10次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第九章<b class='flag-5'>按鍵控制</b><b class='flag-5'>LED</b>燈<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第三十七章雙路高速AD實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第三十七章雙路高速AD實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)
    發(fā)表于 12-04 15:06 ?11次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第三十七章雙路高速AD<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第三十五章高速AD/DA實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第三十五章高速AD/DA實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)
    發(fā)表于 12-04 15:06 ?12次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第三十五章高速AD/DA<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第十五章 窗口門狗(WWDG)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第十五章 窗口門狗(WWDG)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)
    發(fā)表于 12-05 11:21 ?12次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第十五章 窗口門狗(WWDG)<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1

    正點(diǎn)原子FPGA連載】第十一章觸摸按鍵控制LED實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)FPGA開發(fā)指南_V2.1

    :http://www.openedv.com/thread-300792-1-1.html3)對(duì)正點(diǎn)原子FPGA感興趣的同學(xué)可以加群討論:9942440164)關(guān)注正點(diǎn)
    發(fā)表于 12-31 19:21 ?9次下載
    【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b><b class='flag-5'>FPGA</b>連載】第十一章觸摸<b class='flag-5'>按鍵控制</b><b class='flag-5'>LED</b>燈<b class='flag-5'>實(shí)驗(yàn)</b> -摘自【<b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>】新起點(diǎn)<b class='flag-5'>之</b><b class='flag-5'>FPGA</b>開發(fā)指南_V2.1