0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog的基本設(shè)計(jì)單元模塊介紹

FPGA之家 ? 來(lái)源:未知 ? 作者:劉勇 ? 2019-06-26 15:30 ? 次閱讀
3.1.模塊的結(jié)構(gòu)

Verilog的基本設(shè)計(jì)單元是“模塊”(block)。一個(gè)模塊是由兩部分組成的,一部分描述接口,另一部分描述邏輯功能,即定義輸入是如何影響輸出的。下面舉例說(shuō)明:

圖1 模塊示例

m

請(qǐng)看上面的例子: 程序模塊旁邊有一個(gè)電路圖的符號(hào)。在許多方面,程序模塊和電路圖符號(hào)是一致的,這是因?yàn)殡娐穲D符號(hào)的引腳也就是程序模塊的接口。而程序模塊描述了電路圖符號(hào)所實(shí)現(xiàn)的邏輯功能。以上就是設(shè)計(jì)一個(gè)簡(jiǎn)單的Verilog程序模塊所需的全部?jī)?nèi)容。從上面的例子可以看出,Verilog結(jié)構(gòu)位于在module和endmodule聲明語(yǔ)句之間,每個(gè)Verilog程序包括四個(gè)主要部分:端口定義、I/O說(shuō)明、內(nèi)部信號(hào)聲明、功能定義。

3.1.1、模塊的端口定義

模塊的端口聲明了模塊的輸入輸出口。其格式如下:

module 模塊名(口1,口2,口3,口4, ………);

…….

endmodule

模塊的端口表示的是模塊的輸入和輸出口名,也就是它與別的模塊聯(lián)系端口的標(biāo)識(shí)。在模塊被引用時(shí),在引用的模塊中,有些信號(hào)要輸入到被引用的模塊中,有的信號(hào)需要從被引用的模塊中取出來(lái)。在引用模塊時(shí)其端口可以用兩種方法連接:

1)在引用時(shí),嚴(yán)格按照模塊定義的端口順序來(lái)連接,不用標(biāo)明原模塊定義時(shí)規(guī)定的端口名,舉例說(shuō)明如下:

模塊名 實(shí)例化名( 連接端口1信號(hào)名, 連接端口2信號(hào)名,連接端口3信號(hào)名,….,,,);

2)在引用時(shí)用“.”標(biāo)明原模塊定義時(shí)規(guī)定的端口名,舉例說(shuō)明如下:

模塊名 實(shí)例化名(.端口1名( 連接信號(hào)1名),.端口2名( 連接信號(hào)2名),….,,,);

這樣表示的好處在于可以用端口名與被引用模塊的端口對(duì)應(yīng),不必嚴(yán)格按端口順序?qū)?yīng),提高了程序的可讀性和可移植性。

3.1.2、模塊內(nèi)容

模塊的內(nèi)容包括I/O說(shuō)明、內(nèi)部信號(hào)聲明、功能定義。

3.1.2.1、I/O說(shuō)明的格式如下:

輸入口: input [信號(hào)位寬-1 :0] 端口名1;

input [信號(hào)位寬-1 :0] 端口名2;

………;

input [信號(hào)位寬-1 :0] 端口名i; //(共有i個(gè)輸入口)

輸出口: output [信號(hào)位寬-1 :0] 端口名1;

output [信號(hào)位寬-1 :0] 端口名2;

………;

output [信號(hào)位寬-1 :0] 端口名j; //(共有j個(gè)輸出口)

輸入/輸出口:

inout [信號(hào)位寬-1 :0] 端口名1;

inout [信號(hào)位寬-1 :0] 端口名2;

………;

inout [信號(hào)位寬-1 :0] 端口名k; //(共有k個(gè)雙向總線端口)

I/O說(shuō)明也可以寫在端口聲明語(yǔ)句里。其格式如下:

module module_name(input port1,input port2,…

output port1,output port2… );

3.1.2.2、內(nèi)部信號(hào)說(shuō)明:

在模塊內(nèi)用到的和與端口有關(guān)的wire 和 reg 類型變量的聲明。如:

reg [width-1 : 0] R變量1,R變量2 。。。。;

wire [width-1 : 0] W變量1,W變量2 。。。。;

………..

3.1.2.3、功能定義:

模塊中最重要的部分是邏輯功能定義部分。有三種方法可在模塊中產(chǎn)生邏輯。

1)用“assign”聲明語(yǔ)句,如: assign a = b & c;

2)實(shí)例化模塊,如: and u1( q, a, b );

3)用“always”塊

如:always @(posedge clk or posedge clr)

begin

if(clr) q <= 0;

else if(en) q <= d;

end

采用“assign”語(yǔ)句是描述組合邏輯最常用的方法之一。而“always”塊既可用于描述組合邏輯也可描述時(shí)序邏輯。上面的例子用“always”塊生成了一個(gè)帶有異步清除端的D觸發(fā)器?!癮lways”塊可用很多種描述手段來(lái)表達(dá)邏輯,例如上例中就用了if...else語(yǔ)句來(lái)表達(dá)邏輯關(guān)系。如按一定的風(fēng)格來(lái)編寫“always”塊,可以通過(guò)綜合工具把源代碼自動(dòng)綜合成用門級(jí)結(jié)構(gòu)表示的組合或時(shí)序邏輯電路。

3.1.3、理解要點(diǎn):

如果用Verilog模塊實(shí)現(xiàn)一定的功能,首先應(yīng)該清楚哪些是同時(shí)發(fā)生的,哪些是順序發(fā)生的。上面分別采用了“assign”語(yǔ)句、實(shí)例元件和“always”塊,描述的邏輯功能是同時(shí)執(zhí)行的。也就是說(shuō),如果把這三項(xiàng)寫到一個(gè) VeriIog 模塊文件中去,它們的次序不會(huì)影響邏輯實(shí)現(xiàn)的功能。這三項(xiàng)是同時(shí)執(zhí)行的,也就是并發(fā)的。

然而,在“always”模塊內(nèi),邏輯是按照指定的順序執(zhí)行的?!癮lways”塊中的語(yǔ)句稱為“順序語(yǔ)句”,因?yàn)樗鼈兪琼樞驁?zhí)行,所以“always”塊也稱作“過(guò)程塊”。請(qǐng)注意,兩個(gè)或更多的“always”語(yǔ)句塊,它們是同時(shí)執(zhí)行的,而模塊內(nèi)部的語(yǔ)句是順序執(zhí)行的。 看一下“always”塊內(nèi)的語(yǔ)句,你就會(huì)明白它是如何實(shí)現(xiàn)功能的。 if..else… if必須順序執(zhí)行,否則其功能就沒(méi)有任何意義。如果else語(yǔ)句在if語(yǔ)句之前執(zhí)行,其功能就會(huì)不符合要求!為了能實(shí)現(xiàn)上述描述的功能,“always”語(yǔ)句塊內(nèi)部的語(yǔ)句將按照書寫的順序執(zhí)行。

在Verilog 模塊中所有過(guò)程塊(如:initial塊、always塊)、連續(xù)賦值語(yǔ)句、實(shí)例引用都是并行的。它們表示的是一種通過(guò)變量名互相連接的關(guān)系。在同一模塊中這三者出現(xiàn)的先后次序沒(méi)有關(guān)系。只有連續(xù)賦值語(yǔ)句assign 和實(shí)例引用語(yǔ)句可以獨(dú)立于過(guò)程塊而存在于模塊的功能定義部分。以上是與C語(yǔ)言有很大的不同。許多與C語(yǔ)言類似的語(yǔ)句只能出現(xiàn)在過(guò)程塊中,而不能隨意出現(xiàn)在模塊功能定義的范圍內(nèi)。

3.2、數(shù)據(jù)類型及其常量、變量

Verilog HDL中總共有十九種數(shù)據(jù)類型,數(shù)據(jù)類型是用來(lái)表示數(shù)字電路硬件中的數(shù)據(jù)儲(chǔ)存和傳送元素的。先只介紹四個(gè)最基本的數(shù)據(jù)類型,它們是:reg型、wire型、integer型、parameter型。

其它數(shù)據(jù)類型也有很多:large型、medium型、scalared型、time型、small型、tri型、trio型、tri1型、triand型、trior型、trireg型、vectored型、wand型、wor型。

3.2.1、常量

在程序運(yùn)行過(guò)程中,其值不能被改變的量稱為常量。下面首先對(duì)在Verilog HDL語(yǔ)言中使用的數(shù)字及其表示方式進(jìn)行介紹。

3.2.1.1、整數(shù):

在Verilog HDL中,整型常量即整常數(shù)有以下四種進(jìn)制表示形式:

  1. 二進(jìn)制整數(shù)(b或B)

  2. 十進(jìn)制整數(shù)(d或D)

  3. 十六進(jìn)制整數(shù)(h或H)

  4. 八進(jìn)制整數(shù)(o或O)

3.2.1.2、x和z值:

數(shù)字電路中,x代表不定值,z代表高阻值。一個(gè)x可以用來(lái)定義十六進(jìn)制數(shù)的四位二進(jìn)制數(shù)的狀態(tài),八進(jìn)制數(shù)的三位,二進(jìn)制數(shù)的一位。z的表示方式同x類似。z還有一種表達(dá)方式是可以寫作?。在使用case表達(dá)式時(shí)建議使用這種寫法,以提高程序的可讀性。見(jiàn)下例:

4'b10x0 //位寬為4的二進(jìn)制數(shù)從低位數(shù)起第二位為不定值

4'b101z //位寬為4的二進(jìn)制數(shù)從低位數(shù)起第一位為高阻值

12'dz //位寬為12的十進(jìn)制數(shù)其值為高阻值(第一種表達(dá)方式)

12'd? //位寬為12的十進(jìn)制數(shù)其值為高阻值(第二種表達(dá)方式)

8'h4x //位寬為8的十六進(jìn)制數(shù)其低四位值為不定值

3.2.1.3、負(fù)數(shù):

一個(gè)數(shù)字可以被定義為負(fù)數(shù),只需在位寬表達(dá)式前加一個(gè)減號(hào),減號(hào)必須寫在數(shù)字定義表達(dá)式的最前面。注意減號(hào)不可以放在位寬和進(jìn)制之間也不可以放在進(jìn)制和具體的數(shù)之間。如下:

-8'd5 //這個(gè)表達(dá)式代表5的補(bǔ)數(shù)(用八位二進(jìn)制數(shù)表示)

8'd-5 //非法格式

3.2.2、參數(shù)(Parameter)型

在Verilog HDL中用parameter來(lái)定義常量,即用parameter來(lái)定義一個(gè)標(biāo)識(shí)符代表一個(gè)常量,稱為符號(hào)常量,即標(biāo)識(shí)符形式的常量,采用標(biāo)識(shí)符代表一個(gè)常量可提高程序的可讀性和可維護(hù)性。parameter型數(shù)據(jù)是一種常數(shù)型的數(shù)據(jù),其說(shuō)明格式如下:

parameter 參數(shù)名1=表達(dá)式,參數(shù)名2=表達(dá)式, …,參數(shù)名n=表達(dá)式;

parameter是參數(shù)型數(shù)據(jù)的確認(rèn)符,確認(rèn)符后跟著一個(gè)用逗號(hào)分隔開(kāi)的賦值語(yǔ)句表。在每一個(gè)賦值語(yǔ)句的右邊必須是一個(gè)常數(shù)表達(dá)式。也就是說(shuō),該表達(dá)式只能包含數(shù)字或先前已定義過(guò)的參數(shù)。見(jiàn)下列:

parameter msb=7; //定義參數(shù)msb為常量7

parameter e=25, f=29; //定義二個(gè)常數(shù)參數(shù)

parameter r=5.7; //聲明r為一個(gè)實(shí)型參數(shù)

parameter byte_size=8, byte_msb=byte_size-1; //用常數(shù)表達(dá)式賦值

parameter average_delay = (r+f)/2; //用常數(shù)表達(dá)式賦值

3.2.3、 變量

3.2.3.1、wire型

wire型數(shù)據(jù)常用來(lái)表示用于以assign關(guān)鍵字指定的組合邏輯信號(hào)。Verilog程序模塊中輸入輸出信號(hào)類型缺省時(shí)自動(dòng)定義為wire型。wire型信號(hào)可以用作任何方程式的輸入,也可以用作“assign”語(yǔ)句或?qū)嵗妮敵觥?/p>

wire型信號(hào)的格式同reg型信號(hào)的很類似。其格式如下:

wire [n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,…數(shù)據(jù)名i; //共有i條總線,每條總線內(nèi)有n條線路

wire [n:1] 數(shù)據(jù)名1,數(shù)據(jù)名2,…數(shù)據(jù)名i;

wire是wire型數(shù)據(jù)的確認(rèn)符,[n-1:0]和[n:1]代表該數(shù)據(jù)的位寬,即該數(shù)據(jù)有幾位。最后跟著的是數(shù)據(jù)的名字。如果一次定義多個(gè)數(shù)據(jù),數(shù)據(jù)名之間用逗號(hào)隔開(kāi)。聲明語(yǔ)句的最后要用分號(hào)表示語(yǔ)句結(jié)束。如下格式:

wire a; //定義了一個(gè)一位的wire型數(shù)據(jù)

wire [7:0] b; //定義了一個(gè)八位的wire型數(shù)據(jù)

wire [4:1] c, d; //定義了二個(gè)四位的wire型數(shù)據(jù)

3.2.3.2、reg型

寄存器是數(shù)據(jù)儲(chǔ)存單元的抽象。寄存器數(shù)據(jù)類型的關(guān)鍵字是reg。通過(guò)賦值語(yǔ)句可以改變寄存器儲(chǔ)存的值,其作用與改變觸發(fā)器儲(chǔ)存的值相當(dāng)。reg類型數(shù)據(jù)的缺省初始值為不定值,x。reg型只表示被定義的信號(hào)將用在“always”塊內(nèi)。

reg型數(shù)據(jù)常用來(lái)表示用于“always”模塊內(nèi)的指定信號(hào),常代表觸發(fā)器。通常,在設(shè)計(jì)中要由“always”塊通過(guò)使用行為描述語(yǔ)句來(lái)表達(dá)邏輯關(guān)系。在“always”塊內(nèi)被賦值的每一個(gè)信號(hào)都必須定義成reg型。

reg型數(shù)據(jù)的格式如下:

reg [n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,… 數(shù)據(jù)名i;

reg [n:1] 數(shù)據(jù)名1,數(shù)據(jù)名2,… 數(shù)據(jù)名i;

reg是reg型數(shù)據(jù)的確認(rèn)標(biāo)識(shí)符,[n-1:0]和[n:1]代表該數(shù)據(jù)的位寬,即該數(shù)據(jù)有幾位(bit)。最后跟著的是數(shù)據(jù)的名字。如果一次定義多個(gè)數(shù)據(jù),數(shù)據(jù)名之間用逗號(hào)隔開(kāi)。聲明語(yǔ)句的最后要用分號(hào)表示語(yǔ)句結(jié)束。如下:

reg rega; //定義了一個(gè)一位的名為rega的reg型數(shù)據(jù)

reg [3:0] regb; //定義了一個(gè)四位的名為regb的reg型數(shù)據(jù)

reg [4:1] regc, regd; //定義了兩個(gè)四位的名為regc和regd的reg型數(shù)據(jù)

3.2.3.3、memory型

Verilog HDL通過(guò)對(duì)reg型變量建立數(shù)組來(lái)對(duì)存儲(chǔ)器建模,可以描述RAM型存儲(chǔ)器,ROM存儲(chǔ)器和reg文件。數(shù)組中的每一個(gè)單元通過(guò)一個(gè)數(shù)組索引進(jìn)行尋址。在Verilog語(yǔ)言中沒(méi)有多維數(shù)組存在。 memory型數(shù)據(jù)是通過(guò)擴(kuò)展reg型數(shù)據(jù)的地址范圍來(lái)生成的。其格式如下:

reg [n-1:0] 存儲(chǔ)器名[m-1:0];

或 reg [n-1:0] 存儲(chǔ)器名[m:1];

在這里,reg[n-1:0]定義了存儲(chǔ)器中每一個(gè)存儲(chǔ)單元的大小,即該存儲(chǔ)單元是一個(gè)n位的寄存器。存儲(chǔ)器名后的[m-1:0]或[m:1]則定義了該存儲(chǔ)器中有多少個(gè)這樣的寄存器。最后用分號(hào)結(jié)束定義語(yǔ)句。下面舉例說(shuō)明:

reg [7:0] mema[255:0];

這個(gè)例子定義了一個(gè)名為mema的存儲(chǔ)器,該存儲(chǔ)器有256個(gè)8位的存儲(chǔ)器。該存儲(chǔ)器的地址范圍是0到255。注意:對(duì)存儲(chǔ)器進(jìn)行地址索引的表達(dá)式必須是常數(shù)表達(dá)式。

另外,在同一個(gè)數(shù)據(jù)類型聲明語(yǔ)句里,可以同時(shí)定義存儲(chǔ)器型數(shù)據(jù)和reg型數(shù)據(jù)。見(jiàn)下例:

parameter wordsize=16, //定義二個(gè)參數(shù)

memsize=256;

reg [wordsize-1:0] mem[memsize-1:0], writereg, readreg;

盡管memory型數(shù)據(jù)和reg型數(shù)據(jù)的定義格式很相似,但要注意其不同之處。如一個(gè)由n個(gè)1位寄存器構(gòu)成的存儲(chǔ)器組是不同于一個(gè)n位的寄存器的。見(jiàn)下例:

reg [n-1:0] rega; //一個(gè)n位的寄存器

reg mema [n-1:0]; //一個(gè)由n個(gè)1位寄存器構(gòu)成的存儲(chǔ)器組

一個(gè)n位的寄存器可以在一條賦值語(yǔ)句里進(jìn)行賦值,而一個(gè)完整的存儲(chǔ)器則不行。見(jiàn)下例:

rega =0; //合法賦值語(yǔ)句

mema =0; //非法賦值語(yǔ)句

如果想對(duì)memory中的存儲(chǔ)單元進(jìn)行讀寫操作,必須指定該單元在存儲(chǔ)器中的地址。下面的寫法是正確的。

mema[3]=0; //給memory中的第3個(gè)存儲(chǔ)單元賦值為0。

進(jìn)行尋址的地址索引可以是表達(dá)式,這樣就可以對(duì)存儲(chǔ)器中的不同單元進(jìn)行操作。表達(dá)式的值可以取決于電路中其它的寄存器的值。例如可以用一個(gè)加法計(jì)數(shù)器來(lái)做RAM的地址索引。

3.3、運(yùn)算符及表達(dá)式

Verilog HDL語(yǔ)言的運(yùn)算符范圍很廣,其運(yùn)算符按其功能可分為以下幾類:

  1. 算術(shù)運(yùn)算符(+,-,×,/,%)

  2. 賦值運(yùn)算符(=,<=)

  3. 關(guān)系運(yùn)算符(>,<,>=,<=)

  4. 邏輯運(yùn)算符(&&,||,!)

  5. 條件運(yùn)算符(?:)

  6. 位運(yùn)算符(~,|,^,&,^~)

  7. 移位運(yùn)算符(<<,>>)

  8. 拼接運(yùn)算符({ })

  9. 其它

在Verilog HDL語(yǔ)言中運(yùn)算符所帶的操作數(shù)是不同的,按其所帶操作數(shù)的個(gè)數(shù)運(yùn)算符可分為三種:

  1. 單目運(yùn)算符(unary operator):可以帶一個(gè)操作數(shù),操作數(shù)放在運(yùn)算符的右邊。

  2. 二目運(yùn)算符(binary operator):可以帶二個(gè)操作數(shù),操作數(shù)放在運(yùn)算符的兩邊。

  3. 三目運(yùn)算符(ternary operator):可以帶三個(gè)操作,這三個(gè)操作數(shù)用三目運(yùn)算符分隔開(kāi)。

clock = ~clock; // ~是一個(gè)單目取反運(yùn)算符, clock是操作數(shù)。

c = a | b; // 是一個(gè)二目按位或運(yùn)算符, a 和 b是操作數(shù)。

r = s ? t : u; // ?: 是一個(gè)三目條件運(yùn)算符, s,t,u是操作數(shù)。

下面對(duì)常用的幾種運(yùn)算符進(jìn)行介紹。

3.3.1、基本的算術(shù)運(yùn)算符

在Verilog HDL語(yǔ)言中,算術(shù)運(yùn)算符又稱為二進(jìn)制運(yùn)算符,共有下面幾種:

  1. + (加法運(yùn)算符,或正值運(yùn)算符,如 rega+regb,+3)

  2. - (減法運(yùn)算符,或負(fù)值運(yùn)算符,如 rega-3,-3)

  3. × (乘法運(yùn)算符,如rega*3)

  4. / (除法運(yùn)算符,如5/3)

  5. % (模運(yùn)算符,或稱為求余運(yùn)算符,要求%兩側(cè)均為整型數(shù)據(jù)。如7%3的值為1)

3.3.2、位運(yùn)算符

Verilog HDL作為一種硬件描述語(yǔ)言,是針對(duì)硬件電路而言的。在硬件電路中信號(hào)有四種狀態(tài)值1,0,x,z.在電路中信號(hào)進(jìn)行與或非時(shí),反映在Verilog HDL中則是相應(yīng)的操作數(shù)的位運(yùn)算。

3.3.2.1、"取反"運(yùn)算符~

~是一個(gè)單目運(yùn)算符,用來(lái)對(duì)一個(gè)操作數(shù)進(jìn)行按位取反運(yùn)算。

其運(yùn)算規(guī)則見(jiàn)下圖:

取反運(yùn)算

rega='b1010;//rega的初值為'b1010

rega=~rega;//rega的值進(jìn)行取反運(yùn)算后變?yōu)?#39;b0101

3.3.2.2、“按位與”運(yùn)算符 &

按位與運(yùn)算就是將兩個(gè)操作數(shù)的相應(yīng)位進(jìn)行與運(yùn)算,

其運(yùn)算規(guī)則見(jiàn)下圖:

按位與運(yùn)算

3.3.2.3、“按位或”運(yùn)算符|

按位或運(yùn)算就是將兩個(gè)操作數(shù)的相應(yīng)位進(jìn)行或運(yùn)算。

其運(yùn)算規(guī)則見(jiàn)下圖:

按位或運(yùn)算

0

1

x

0

0

1

x

1

1

1

1

x

x

1

x

3.3.2.4、“按位異或”運(yùn)算符^(也稱之為XOR運(yùn)算符)

按位異或運(yùn)算就是將兩個(gè)操作數(shù)的相應(yīng)位進(jìn)行異或運(yùn)算。

其運(yùn)算規(guī)則見(jiàn)下圖:

按位異或運(yùn)算

3.3.2.5、“按位同或”運(yùn)算符^~

按位同或運(yùn)算就是將兩個(gè)操作數(shù)的相應(yīng)位先進(jìn)行異或運(yùn)算再進(jìn)行非運(yùn)算。其運(yùn)算規(guī)則見(jiàn)下圖:

按位同或運(yùn)算

^~

0

1

x

0

1

0

x

1

0

1

x

x

x

x

x

3.3.2.6、不同長(zhǎng)度的數(shù)據(jù)進(jìn)行位運(yùn)算

兩個(gè)長(zhǎng)度不同的數(shù)據(jù)進(jìn)行位運(yùn)算時(shí),系統(tǒng)會(huì)自動(dòng)的將兩者按右端對(duì)齊。位數(shù)少的操作數(shù)會(huì)在相應(yīng)的高位用0填滿,以使兩個(gè)操作數(shù)按位進(jìn)行操作。

3.4、邏輯運(yùn)算符

在Verilog HDL語(yǔ)言中存在三種邏輯運(yùn)算符:

1) && 邏輯與

2) || 邏輯或

3) ! 邏輯非

“&&”和“||”是二目運(yùn)算符,它要求有兩個(gè)操作數(shù),如(a>b)&&(b>c),(a單目運(yùn)算符,只要求一個(gè)操作數(shù),如!(a>b)。下表為邏輯運(yùn)算的真值表。它表示當(dāng)a和b的值為不同的組合時(shí),各種邏輯運(yùn)算所得到的值。

邏輯運(yùn)算符中“&&”和“||”的優(yōu)先級(jí)別低于關(guān)系運(yùn)算符,“!” 高于算術(shù)運(yùn)算符。

3.5、關(guān)系運(yùn)算符

關(guān)系運(yùn)算符共有以下四種:

a < b a小于b

a > b a大于b

a <= b a小于或等于b

a >= b a大于或等于b

在進(jìn)行關(guān)系運(yùn)算時(shí),如果聲明的關(guān)系是假的(false),則返回值是0,如果聲明的關(guān)系是真的(true),則返回值是1,如果某個(gè)操作數(shù)的值不定,則關(guān)系是模糊的,返回值是不定值。

3.6、等式運(yùn)算符

在Verilog HDL語(yǔ)言中存在四種等式運(yùn)算符:

  1. = = (等于)

  2. != (不等于)

  3. = = = (等于)

  4. != = (不等于)

注意:求反號(hào)、雙等號(hào)、三個(gè)等號(hào)之間不能有空格

這四個(gè)運(yùn)算符都是二目運(yùn)算符,它要求有兩個(gè)操作數(shù)。"=="和"!="又稱為邏輯等式運(yùn)算符。其結(jié)果由兩個(gè)操作數(shù)的值決定。由于操作數(shù)中某些位可能是不定值x和高阻值z(mì),結(jié)果可能為不定值x。而“===”和“!==”運(yùn)算符則不同,它在對(duì)操作數(shù)進(jìn)行比較時(shí)對(duì)某些位的不定值x和高阻值z(mì)也進(jìn)行比較,兩個(gè)操作數(shù)必需完全一致,其結(jié)果才是1,否則為0“===”和“!==”運(yùn)算符常用于case表達(dá)式的判別,所以又稱為“case等式運(yùn)算符”。這四個(gè)等式運(yùn)算符的優(yōu)先級(jí)別是相同的。下面畫出==與===的真值表,幫助理解兩者間的區(qū)別。

下面舉一個(gè)例子說(shuō)明“==”和“===”的區(qū)別。

例:

if(A==1‘bx) $display(“AisX”); (當(dāng)A等于X時(shí),這個(gè)語(yǔ)句不執(zhí)行)

if(A===1‘bx) $display(“AisX”); (當(dāng)A等于X時(shí),這個(gè)語(yǔ)句執(zhí)行)

3.7、移位運(yùn)算符

在Verilog HDL中有兩種移位運(yùn)算符:

<< (左移位運(yùn)算符) 和 >>(右移位運(yùn)算符)。

其使用方法如下:

a >> n 或 a << n

a代表要進(jìn)行移位的操作數(shù),n代表要移幾位。這兩種移位運(yùn)算都用0來(lái)填補(bǔ)移出的空位。

3.8、位拼接運(yùn)算符(Concatation)

在Verilog HDL語(yǔ)言有一個(gè)特殊的運(yùn)算符:位拼接運(yùn)算符{}。用這個(gè)運(yùn)算符可以把兩個(gè)或多個(gè)信號(hào)的某些位拼接起來(lái)進(jìn)行運(yùn)算操作。其使用方法如下:

{信號(hào)1的某幾位,信號(hào)2的某幾位,..,..,信號(hào)n的某幾位}

即把某些信號(hào)的某些位詳細(xì)地列出來(lái),中間用逗號(hào)分開(kāi),最后用大括號(hào)括起來(lái)表示一個(gè)整體信號(hào)。見(jiàn)下例:

{a,b[3:0], w, 3’b101}

也可以寫成為

{a,b[3],b[2],b[1],b[0],w,1’b1,1’b0,1’b1}

在位拼接表達(dá)式中不允許存在沒(méi)有指明位數(shù)的信號(hào)。這是因?yàn)樵谟?jì)算拼接信號(hào)的位寬的大小時(shí)必需知道其中每個(gè)信號(hào)的位寬。

位拼接還可以用重復(fù)法來(lái)簡(jiǎn)化表達(dá)式。見(jiàn)下例:

{4{w}} //這等同于{w,w,w,w}

位拼接還可以用嵌套的方式來(lái)表達(dá)。見(jiàn)下例:

{b,{3{a,b}}} //這等同于{b,a,b,a,b,a,b}

用于表示重復(fù)的表達(dá)式如上例中的4和3,必須是常數(shù)表達(dá)式。

3.9、縮減運(yùn)算符(reduction operator)

縮減運(yùn)算符是單目運(yùn)算符,也有與或非運(yùn)算。其與或非運(yùn)算規(guī)則類似于位運(yùn)算符的與或非運(yùn)算規(guī)則,但其運(yùn)算過(guò)程不同。位運(yùn)算是對(duì)操作數(shù)的相應(yīng)位進(jìn)行與或非運(yùn)算,操作數(shù)是幾位數(shù)則運(yùn)算結(jié)果也是幾位數(shù)。而縮減運(yùn)算則不同,縮減運(yùn)算是對(duì)單個(gè)操作數(shù)進(jìn)行或與非遞推運(yùn)算,最后的運(yùn)算結(jié)果是一位的二進(jìn)制數(shù)??s減運(yùn)算的具體運(yùn)算過(guò)程是這樣的:第一步先將操作數(shù)的第一位與第二位進(jìn)行或與非運(yùn)算,第二步將運(yùn)算結(jié)果與第三位進(jìn)行或與非運(yùn)算,依次類推,直至最后一位。

例如:reg [3:0] B;

reg C;

C = &B;

相當(dāng)于:

C =( (B[0]&B[1]) & B[2] ) & B[3];

由于縮減運(yùn)算的與、或 運(yùn)算規(guī)則類似于位運(yùn)算符與、或 運(yùn)算規(guī)則,這里不再詳細(xì)講述,請(qǐng)參照位運(yùn)算符的運(yùn)算規(guī)則介紹。

3.10、優(yōu)先級(jí)別

下面對(duì)各種運(yùn)算符的優(yōu)先級(jí)別關(guān)系作一個(gè)總結(jié)。見(jiàn)下圖:

3.11、關(guān)鍵詞

在Verilog HDL中,所有的關(guān)鍵詞是事先定義好的確認(rèn)符,用來(lái)組織語(yǔ)言結(jié)構(gòu)。關(guān)鍵詞是用小寫字母定義的,因此在編寫程序代碼時(shí)必須注意關(guān)鍵詞的書寫,以避免出錯(cuò)。下面是Verilog HDL中使用的關(guān)鍵詞

always, and, assign, begin, buf, bufif0, bufif1, case, casex, casez, cmos, deassign, default, defparam, disable, edge, else, end, endcase, endmodule, endfunction, endprimitive, endspecify, endtable, endtask, event, for, force, forever, fork, function, highz0, highz1, if, initial, inout, input, integer, join,large, macromodule,medium, module, nand, negedge, nmos, nor, not, notif0, notifl, or, output, parameter, pmos, posedge, primitive, pull0, pull1, pullup, pulldown, rcmos, reg, releses, repeat, mmos, rpmos, rtran, rtranif0, rtranif1, scalared, small, specify, specparam, strength, strong0, strong1, supply0, supply1, table, task, time, tran, tranif0, tranif1, tri, tri0, tri1, triand, trior, trireg, vectored, wait, wand, weak0, weak1, while, wire, wor, xnor, xor

注意在編寫Verilog HDL程序時(shí),變量的定義不要與這些關(guān)鍵詞沖突。

3.12、賦值語(yǔ)句

3.12.1、 賦值語(yǔ)句

在Verilog HDL語(yǔ)言中,信號(hào)有兩種賦值方式:

(1).非阻塞(Non_Blocking)賦值方式( 如 b <= a; )

A) 在語(yǔ)句塊中,上面語(yǔ)句所賦的變量值不能立即就為下面的語(yǔ)句所用;

B) 塊結(jié)束后才能完成這次賦值操作,而所賦的變量值是上一次賦值得到的;

C) 在編寫可綜合的時(shí)序邏輯模塊時(shí),這是最常用的賦值方法。

[注意]:非阻塞賦值符 “ <= ” 與小于等于符 “<= ” 看起來(lái)是一樣的,但意義完全不同,小于等于符是關(guān)系運(yùn)算符,用于比較大小。而非阻塞賦值符用于時(shí)序賦值操作。

(2).阻塞(Blocking)賦值方式( 如 b = a; )

A) 賦值語(yǔ)句執(zhí)行完后,塊才結(jié)束;

B) b的值在賦值語(yǔ)句執(zhí)行完后立刻就改變的;

C) 在時(shí)序邏輯設(shè)計(jì)中使用,可能會(huì)產(chǎn)生意想不到的結(jié)果。

非阻塞賦值方式和阻塞賦值方式的區(qū)別常給設(shè)計(jì)人員帶來(lái)問(wèn)題。問(wèn)題主要是給"always"塊內(nèi)的reg型信號(hào)的賦值方式不易把握。"always"模塊內(nèi)的reg型信號(hào)都是采用下面的這種賦值方式:

b <= a;

這種方式的賦值并不是馬上執(zhí)行的,也就是說(shuō) "always" 塊內(nèi)的下一條語(yǔ)句執(zhí)行后,b并不等于a,而是保持原來(lái)的值。"always" 塊結(jié)束后,才進(jìn)行賦值。而另一種賦值方式阻塞賦值方式,如下所示:

b = a;

這種賦值方式是馬上執(zhí)行的。也就是說(shuō)執(zhí)行下一條語(yǔ)句時(shí),b已等于a。盡管這種方式看起來(lái)很直觀,但是可能引起麻煩

總結(jié):

  1. 在Verilog 模塊中所有過(guò)程塊(如:initial塊、always塊)、連續(xù)賦值語(yǔ)句、實(shí)例引用都是并行的;

  2. 它們表示的是一種通過(guò)變量名互相連接的關(guān)系;

  3. 在同一模塊中各個(gè)過(guò)程塊、各條連續(xù)賦值語(yǔ)句和各條實(shí)例引用語(yǔ)句這三者出現(xiàn)的先后次序沒(méi)有關(guān)系;

  4. 只有連續(xù)賦值語(yǔ)句(即用關(guān)鍵詞assign引出的語(yǔ)句)和實(shí)例引用語(yǔ)句(即用已定義的模塊名引出的語(yǔ)句),可以獨(dú)立于過(guò)程塊而存在于模塊的功能定義部分;

  5. 被實(shí)例引用的模塊其端口可以通過(guò)不同名的連線或寄存器類型變量連 接到別的模塊相應(yīng)的輸出輸入信號(hào)端;

  6. 在“always”塊內(nèi)被賦值的每一個(gè)信號(hào)都必須定義成reg型;

7) 無(wú)論是邏輯運(yùn)算、邏輯比較還是邏輯等式等邏輯操作一般發(fā)生在條件判斷語(yǔ)句中,其輸出只有:1 或 0,也可以理解為成立(真)或不成立(假);

8) 位拼接運(yùn)算符{ },在C語(yǔ)言中沒(méi)有定義,但在Verilog中是很有用的語(yǔ)法。我們可以借助于拼接符用一個(gè)信號(hào)名來(lái)表示由多位信號(hào)組成的復(fù)雜信號(hào),其中每個(gè)功能信號(hào)可以有自己獨(dú)立的名字和位寬;

9) 縮減運(yùn)算符(reduction operator)也是C語(yǔ)言所沒(méi)有的,合理地使用縮減運(yùn)算符可以使程序簡(jiǎn)潔、明了;

10) 阻塞和非阻塞賦值也是C語(yǔ)言所沒(méi)有的。我們應(yīng)當(dāng)理解這是非常重要的概念,特別在編寫可綜合風(fēng)格的模塊中要加以注意。阻塞語(yǔ)句,如果沒(méi)有寫延遲時(shí)間看起來(lái)是在同一時(shí)刻運(yùn)行,但實(shí)際上是有先后的,即在前面的先運(yùn)行,然后再運(yùn)行下面的語(yǔ)句,阻塞語(yǔ)句的次序與邏輯行為有很大的關(guān)系。而非阻塞的就不同了,在begin end之間的所有非阻塞語(yǔ)句都在同一時(shí)刻被賦值,因此邏輯行為與非阻塞語(yǔ)句的次序就沒(méi)有關(guān)系。在硬件實(shí)現(xiàn)時(shí)這兩者有很大的不同;

11) begin end 塊語(yǔ)句與C語(yǔ)言中的大括號(hào)對(duì)(即{})類似,而fork join語(yǔ)句在C語(yǔ)言中沒(méi)有定義,但其語(yǔ)義并不難理解。在測(cè)試模塊中描述測(cè)試信號(hào)時(shí)常在initial和always過(guò)程塊中使用并行塊。這種描述方法,由于時(shí)間關(guān)系只與起點(diǎn)比較,有時(shí)這樣表達(dá)比較容易和清楚。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109721

原文標(biāo)題:verilog語(yǔ)法進(jìn)階

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    是否可以使用邏輯單元verilog代碼?

    我想知道我是否可以使用邏輯單元(Spartan 6)的verilog代碼,這樣我就不必花時(shí)間為邏輯單元編寫verilog代碼。這可以節(jié)省我的時(shí)間,讓我專注于其他部分內(nèi)容,因?yàn)槲矣幸粋€(gè)很
    發(fā)表于 03-10 09:45

    verilog基礎(chǔ)模塊介紹

    本文主要介紹verilog基礎(chǔ)模塊,夯實(shí)基礎(chǔ),對(duì)深入學(xué)習(xí)FPGA會(huì)有很大幫助。
    發(fā)表于 01-22 07:58

    模塊和端口介紹

    verilog的相關(guān)學(xué)習(xí)和介紹,主要介紹模塊和端口
    發(fā)表于 11-10 10:21 ?0次下載

    verilog實(shí)現(xiàn)定時(shí)器函數(shù)

    使用Verilog描述硬件的基本設(shè)計(jì)單元模塊(module)。構(gòu)建復(fù)雜的電子電路,主要是通過(guò)模塊的相互連接調(diào)用來(lái)實(shí)現(xiàn)的。模塊被包含在關(guān)鍵字
    發(fā)表于 12-08 17:20 ?9995次閱讀

    verilog是什么_verilog的用途和特征是什么

    本文首先介紹verilog的概念和發(fā)展歷史,其次介紹verilog的特征與Verilog的邏輯門級(jí)描述,最后
    發(fā)表于 05-14 14:22 ?4.5w次閱讀
    <b class='flag-5'>verilog</b>是什么_<b class='flag-5'>verilog</b>的用途和特征是什么

    如何設(shè)計(jì)常用模塊Verilog HDL?

    本文檔的主要內(nèi)容詳細(xì)介紹的是常用模塊Verilog HDL設(shè)計(jì)詳細(xì)資料免費(fèi)下載。
    發(fā)表于 10-16 11:12 ?20次下載
    如何設(shè)計(jì)常用<b class='flag-5'>模塊</b>的<b class='flag-5'>Verilog</b> HDL?

    FPGA的視頻教程之Verilog模塊的基本構(gòu)成要素資料說(shuō)明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA的視頻教程之Verilog模塊的基本構(gòu)成要素資料說(shuō)明。
    發(fā)表于 03-26 16:55 ?13次下載
    FPGA的視頻教程之<b class='flag-5'>Verilog</b><b class='flag-5'>模塊</b>的基本構(gòu)成要素資料說(shuō)明

    在寫Verilog時(shí)用到的簡(jiǎn)單的計(jì)數(shù)器模塊和功能模塊的程序免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是在寫Verilog時(shí)用到的簡(jiǎn)單的計(jì)數(shù)器模塊和功能模塊的程序免費(fèi)下載。
    發(fā)表于 08-30 08:00 ?11次下載
    在寫<b class='flag-5'>Verilog</b>時(shí)用到的簡(jiǎn)單的計(jì)數(shù)器<b class='flag-5'>模塊</b>和功能<b class='flag-5'>模塊</b>的程序免費(fèi)下載

    verilog基礎(chǔ)模塊介紹

    本文主要介紹verilog基礎(chǔ)模塊,夯實(shí)基礎(chǔ),對(duì)深入學(xué)習(xí)FPGA會(huì)有很大幫助。
    的頭像 發(fā)表于 02-08 15:04 ?2415次閱讀
    <b class='flag-5'>verilog</b>基礎(chǔ)<b class='flag-5'>模塊</b>的<b class='flag-5'>介紹</b>

    【ZYNQ Ultrascale+ MPSOC FPGA教程】第三章 Verilog基礎(chǔ)模塊介紹

    本文主要介紹verilog基礎(chǔ)模塊,夯實(shí)基礎(chǔ),對(duì)深入學(xué)習(xí)FPGA會(huì)有很大幫助。
    發(fā)表于 01-22 10:41 ?5次下載
    【ZYNQ Ultrascale+ MPSOC FPGA教程】第三章 <b class='flag-5'>Verilog</b>基礎(chǔ)<b class='flag-5'>模塊</b><b class='flag-5'>介紹</b>

    如何在Verilog設(shè)計(jì)中使用庫(kù)模塊

    本教程解釋了如何在基于Verilog的設(shè)計(jì)中包含Altera的庫(kù)模塊,這些設(shè)計(jì)是使用Quartus R:II軟件實(shí)現(xiàn)的。
    發(fā)表于 01-22 15:34 ?4次下載
    如何在<b class='flag-5'>Verilog</b>設(shè)計(jì)中使用庫(kù)<b class='flag-5'>模塊</b>

    探討VHDL和Verilog模塊互相調(diào)用的問(wèn)題

    1、 關(guān)于如何在VHDL模塊調(diào)用一個(gè)Verilog模塊 在VHDL模塊聲明一個(gè)要與調(diào)用的Verilog
    的頭像 發(fā)表于 04-30 14:06 ?9872次閱讀
    探討VHDL和<b class='flag-5'>Verilog</b><b class='flag-5'>模塊</b>互相調(diào)用的問(wèn)題

    Verilog的設(shè)計(jì)方法介紹

    Verilog 的設(shè)計(jì)多采用自上而下的設(shè)計(jì)方法(top-down)。即先定義頂層模塊功能,進(jìn)而分析要構(gòu)成頂層模塊的必要子模塊;
    的頭像 發(fā)表于 05-29 15:44 ?1303次閱讀
    <b class='flag-5'>Verilog</b>的設(shè)計(jì)方法<b class='flag-5'>介紹</b>

    verilog如何調(diào)用其他module

    第一部分:簡(jiǎn)介 1.1 什么是Verilog模塊? 在Verilog中,模塊是其設(shè)計(jì)層次結(jié)構(gòu)的基本單元
    的頭像 發(fā)表于 02-22 15:56 ?4166次閱讀

    verilog調(diào)用模塊端口對(duì)應(yīng)方式

    Verilog是一種硬件描述語(yǔ)言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計(jì)和硬件驗(yàn)證。在Verilog中,模塊是構(gòu)建電路的基本單元,而模塊端口對(duì)應(yīng)方
    的頭像 發(fā)表于 02-23 10:20 ?1089次閱讀