0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

Xilinx賽靈思官微 ? 來(lái)源:djl ? 作者:Ally Zhou ? 2019-07-25 09:27 ? 次閱讀

關(guān)于Tcl在Vivado中的應(yīng)用文章從Tcl的基本語(yǔ)法和在Vivado中的應(yīng)用展開,繼上篇《用Tcl定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程》介紹了如何擴(kuò)展甚至是定制FPGA設(shè)計(jì)實(shí)現(xiàn)流程后,引出了一個(gè)更細(xì)節(jié)的應(yīng)用場(chǎng)景:如何利用Tcl在已完成布局布線的設(shè)計(jì)上對(duì)網(wǎng)表或是布局布線進(jìn)行局部編輯,從而在最短時(shí)間內(nèi),以最小的代價(jià)完成個(gè)別的設(shè)計(jì)改動(dòng)需求。

什么是 ECO

ECO指的是 Engineering Change Order ,即工程變更指令。目的是為了在設(shè)計(jì)的后期,快速靈活地做小范圍修改,從而盡可能的保持已經(jīng)驗(yàn)證的功能和時(shí)序。ECO的叫法算是從IC設(shè)計(jì)領(lǐng)域繼承而來(lái),其應(yīng)用在FPGA設(shè)計(jì)上尚屬首次,但這種做法其實(shí)在以往的FPGA設(shè)計(jì)上已被廣泛采用。簡(jiǎn)單來(lái)說(shuō),ECO便相當(dāng)于ISE上的FPGA Editor。

但與FPGA Editor不同,Vivado中的ECO并不是一個(gè)獨(dú)立的界面或是一些特定的命令,要實(shí)現(xiàn)不同的ECO功能需要使用不同的方式。

ECO的應(yīng)用場(chǎng)景和實(shí)現(xiàn)流程

ECO的應(yīng)用場(chǎng)景主要包含:修改cell屬性、增減或移動(dòng)cell、手動(dòng)局部布線。還有一些需要多種操作配合的復(fù)雜場(chǎng)景,例如把RAM(或DSP)的輸出寄存器放入/拉出RAMB(或DSP48)內(nèi)部,或是把設(shè)計(jì)內(nèi)部信號(hào)接到I/O上作調(diào)試probe用等等。

針對(duì)不同的應(yīng)用場(chǎng)景,Vivado中支持的ECO實(shí)現(xiàn)方式也略有區(qū)別。有些可以用圖形界面實(shí)現(xiàn),有些則只能使用Tcl命令。但通??梢栽趫D形化界面上實(shí)現(xiàn)的操作,都可以改用一條或數(shù)條Tcl命令來(lái)實(shí)現(xiàn)。

ECO的實(shí)現(xiàn)流程如下圖所示:

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

第一步所指的Design通常是完全布局布線后的設(shè)計(jì),如果是在工程模式下,可以直接在IDE中打開實(shí)現(xiàn)后的設(shè)計(jì),若是僅有DCP文件,不論是工程模式或是非工程模式產(chǎn)生的DCP,都可以用open_checkpoint命令打開。

第二步就是ECO的意義所在,我們?cè)诓季植季€后的設(shè)計(jì)上進(jìn)行各種操作,然后僅對(duì)改動(dòng)的部分進(jìn)行局部布局/布線而無(wú)需整體重跑設(shè)計(jì),節(jié)約大量時(shí)間的同時(shí)也不會(huì)破壞已經(jīng)收斂的時(shí)序。

第三步就是產(chǎn)生可供下載的bit文件了,此時(shí)必須在Tcl Console中或是Tcl模式下直接輸入命令產(chǎn)生bit文件,而不能使用IDE上的“Generate Bitstream”按鈕。原因是后者讀到的還是ECO前已經(jīng)完成布局布線的原始設(shè)計(jì),生成的bit文件自然也無(wú)法使用。

修改屬性

絕大部分的屬性修改都能通過(guò)IDE界面完成,如下圖所示:

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

比如要修改寄存器的初值INIT或是LUT的真值表,用戶只需在Vivado IDE中打開布局布線后的設(shè)計(jì)(Implemented Design),在Device View中找到并選中這個(gè)FF/LUT,接著在其左側(cè)的Cell Properties視圖中選擇需要修改的屬性,直接修改即可。

除了對(duì)FF/LUT的操作外,很多時(shí)候我們需要對(duì)MMCM/PLL輸出時(shí)鐘的相移進(jìn)行修改。對(duì)于這種應(yīng)用,用戶也無(wú)需重新產(chǎn)生MMCM/PLL,與上述方法類似,可以在布局布線后的Device View上直接修改。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

移動(dòng)/交換cells

移動(dòng)/交換cells是對(duì)FF/LUT進(jìn)行的ECO操作中最基本的一個(gè)場(chǎng)景,目前也只有這種情況可以通過(guò)圖形化實(shí)現(xiàn)。如要?jiǎng)h減cells等則只能通過(guò)Tcl命令來(lái)進(jìn)行。

具體操作方法也相當(dāng)簡(jiǎn)便,要互換cells位置的情況下,只要在Device View上選中需要的那兩個(gè)cells,如上圖所示的兩個(gè)FFs,然后右鍵調(diào)出菜單,選擇Swap Locations即可。若要移動(dòng)cells則更簡(jiǎn)單,直接在圖中選中FF拖移到新的位置即可。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)


當(dāng)用戶移動(dòng)或改變了cells的位置后會(huì)發(fā)現(xiàn)與其連接的nets變成了黃色高亮顯示,表示這些nets需要重新布線。這時(shí)候需要做的就是在圖中選中這些nets然后右鍵調(diào)出菜單,選擇Route進(jìn)行局部布線。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

局部布線后一定要記得在Tcl Console中使用report_route_status命令檢查布線情況,確保沒有未完成布線(unrouted)或是部分未完成布線(partial routed)的nets存在。給這個(gè)命令加上選項(xiàng)則可以報(bào)告出更細(xì)致的結(jié)果,如下圖所示。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

如果換個(gè)稍復(fù)雜些的Tcl命令配合圖形化顯示,更加直觀的同時(shí),也可以方便右鍵調(diào)出命令進(jìn)行針對(duì)性的局部布線。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

手動(dòng)布線

手動(dòng)布線是一種非常規(guī)的布線方式,一次只能針對(duì)一根net在圖形化界面下進(jìn)行。所謂手動(dòng)布線,除了完全手動(dòng)一個(gè)節(jié)點(diǎn)一個(gè)節(jié)點(diǎn)的選擇外,也支持工具自動(dòng)選擇資源來(lái)布線。通常我們并不建議全手動(dòng)的方式,Vivado是時(shí)序驅(qū)動(dòng)的工具,所以其自動(dòng)選擇的布線結(jié)果已經(jīng)是遵循了時(shí)序約束下的最佳選擇。

在Device View中選擇一根沒有布線或是預(yù)先Unroute過(guò)的net(顯示為紅色高亮),右鍵調(diào)出菜單并選擇Enter Assign Routing Mode… 便可進(jìn)入手動(dòng)布線模式。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

復(fù)雜的ECO場(chǎng)景

篇幅過(guò)半,一直在鋪墊,其實(shí)最有實(shí)踐意義的ECO還沒提到。相信大部分用戶最懷念FPGA Editor中的一個(gè)功能就是probe了,如何快速地把一根內(nèi)部信號(hào)連接到FPGA管腳上,無(wú)需重新布局布線,直接更新bit文件后下載調(diào)試。曾經(jīng)數(shù)次被客戶問(wèn)及,很多人還為Vivado中不支持這樣的做法而深表遺憾。

其實(shí)這樣類似的功能在Vivado中一直支持,唯一的問(wèn)題是暫時(shí)還沒有圖形化界面可以一鍵操作(相關(guān)開發(fā)工作已經(jīng)在進(jìn)行中)。但受益于Tcl的靈活多變,我們可以更有針對(duì)性地實(shí)現(xiàn)probe功能,效率也更高。

Tcl操作命令

在UG835中把Vivado支持的Tcl命令按照Category分類,這些列于Netlist目錄下的命令就是實(shí)現(xiàn)ECO需要用到的那些。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

通常涉及到增減cells的ECO基本分為三步實(shí)現(xiàn):首先用create_cell / create_net 等創(chuàng)建相關(guān)cell和/或net,然后用disconnect_net / connect_net 等命令修正因?yàn)閏ell和net的改動(dòng)而影響到的連接關(guān)系,最后用route_design加選項(xiàng)完成局部布線。

不同的Vivado版本對(duì)此類ECO修改有稍許不同的限制,例如在2014.1之后的版本上,需要在改變cell的連接關(guān)系前先用unplace_cell將cell從當(dāng)前的布局位置上釋放,在完成新的連接關(guān)系后,再用place_cell放到新的布局位置上。

具體操作上可以根據(jù)Vivado的提示或報(bào)錯(cuò)信息來(lái)改動(dòng)具體的Tcl命令,但操作思路和可用的命令相差無(wú)幾。

Add Probe

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

這是一個(gè)在Vivaod上實(shí)現(xiàn)probe功能的Tcl腳本,已經(jīng)寫成了proc子程序,簡(jiǎn)單易懂??梢灾苯诱{(diào)用,也可以做成Vivado的嵌入式擴(kuò)展命令。調(diào)用其生成probe只需先source這個(gè)腳本,然后按照如下所示在Tcl Console中輸入命令即可。

Vivado% addProbe inst_1/tmp_q[3] D9 LVCMOS18 my_probe_1

該腳本已經(jīng)在Vivado2014.3和2014.4上測(cè)試過(guò),一次只能完成一個(gè)probe的添加,而且必須按照上述順序輸入信號(hào)名,管腳位置,電平標(biāo)準(zhǔn)和probe名。因?yàn)椴痪邆漕A(yù)檢功能,可能會(huì)碰到一些報(bào)錯(cuò)信息而導(dǎo)致無(wú)法繼續(xù)。例如選擇的信號(hào)是只存在于SLICE內(nèi)部的INTRASITE時(shí),則無(wú)法拉出到管腳。再比如輸入命令時(shí)拼錯(cuò)了電平標(biāo)準(zhǔn)等,也會(huì)造成Tcl已經(jīng)部分修改Vivado數(shù)據(jù)庫(kù)而無(wú)法繼續(xù)的問(wèn)題。此時(shí)只能關(guān)閉已經(jīng)打開的DCP并選擇不保存而重新來(lái)過(guò)。


用戶可以根據(jù)自己的需要擴(kuò)展這個(gè)Tcl腳本,也可以仿照這個(gè)Tcl的寫法來(lái)實(shí)現(xiàn)其它的ECO需求。例如文章開始舉例時(shí)提到過(guò)一個(gè)將RAMB輸出一級(jí)的FF拉出到Fabric上實(shí)現(xiàn)的場(chǎng)景,基本的實(shí)現(xiàn)方法和思路也類似:先將RAMB的輸出口REG的屬性改為0,然后創(chuàng)建一個(gè)新的FF,將其輸入與RAMB的輸出連接,再將FF的輸出與原本RAMB輸出驅(qū)動(dòng)的cell連接,并完成FF的時(shí)鐘和復(fù)位端的正確連接,然后選擇合適的位置放置這個(gè)新的FF,最后針對(duì)新增加的nets局部布線。

由此可見,用Tcl來(lái)實(shí)現(xiàn)的ECO雖然不及圖形化界面來(lái)的簡(jiǎn)便直觀,但是帶給用戶的卻是最大化的自由。完全由用戶來(lái)決定如何修改設(shè)計(jì),那怕是在最后已經(jīng)完成布局布線時(shí)序收斂的結(jié)果上,也能直接改變那些底層單元的連接關(guān)系,甚至是增減設(shè)計(jì)。

ECO在Vivado上的發(fā)展

經(jīng)過(guò)了兩年多的發(fā)展,在Vivado上實(shí)現(xiàn)ECO已經(jīng)有了多種方式,除了前面提到的圖形化上那些可用的技巧,還有用戶自定義的Tcl命令和腳本等。隨著Xilinx Tcl Store的推出,用戶可以像在App Store中下載使用app一樣下載使用Tcl腳本,簡(jiǎn)化了Tcl在Vivado上應(yīng)用的同時(shí),進(jìn)一步擴(kuò)展了Tcl的深入、精細(xì)化使用,其中就包括Tcl在ECO上的應(yīng)用。


目前Vivado 2014.4版本上新增了很多有用的腳本。安裝好Vivado后,只需打開Tcl Store,找到Debug Utilities,點(diǎn)擊Install,稍等片刻,即可看到一個(gè)add_probe的Tcl proc被安裝到了你的Vivado中。

tcl局部編輯以最小的代價(jià)完成最大的改動(dòng)

這個(gè)add_probe是在上述addProbe例子的基礎(chǔ)上擴(kuò)展而來(lái),不僅可以新增probe,而且可以改變現(xiàn)有probe連接的信號(hào)。此外,這個(gè)腳本采用了argument寫法,點(diǎn)擊程序可以看help,所以不一定要按照順序輸入信號(hào)、電平標(biāo)準(zhǔn)等選項(xiàng),輸錯(cuò)也沒有問(wèn)題。另外增加了預(yù)檢和糾錯(cuò)功能,碰到問(wèn)題會(huì)報(bào)錯(cuò)退出而不會(huì)改變Vivado數(shù)據(jù)庫(kù),效率更高。

此外,Tcl Store上還有很多其它好用的腳本,歡迎大家試用并反饋給我們寶貴意見。雖然里面關(guān)于ECO的腳本還很少,但我們一直在補(bǔ)充。此外Tcl Store是一個(gè)基于GitHub的完全開源的環(huán)境,當(dāng)然也歡迎大家上傳自己手中有用的Tcl腳本,對(duì)其進(jìn)行補(bǔ)充。

總體來(lái)說(shuō),ECO是一個(gè)比較大的命題,因?yàn)闋砍兜降母膭?dòng)需求太多,其實(shí)也很難限制在一個(gè)GUI界面中實(shí)現(xiàn)。這篇文章的目的就是為了讓大家對(duì)在FPGA上實(shí)現(xiàn)ECO有個(gè)基本的認(rèn)識(shí),梳理看似復(fù)雜無(wú)序的流程,所謂觀一葉而知秋,窺一斑而見全豹,希望能帶給更多用戶信心,用好Vivado其實(shí)一點(diǎn)都不難。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26421
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1699

    瀏覽量

    88270
  • 手動(dòng)布線
    +關(guān)注

    關(guān)注

    0

    文章

    6

    瀏覽量

    8160
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    編程時(shí)可以用局部變量替代全局變量嗎

    盡量用局部變量替代全局變量。如果用局部變量能實(shí)現(xiàn)功能,最好用局部變量。在函數(shù)僅僅只是要用到某個(gè)全局變量,而無(wú)需改動(dòng)時(shí),就將全局變量通過(guò)形參傳遞進(jìn)來(lái),變成
    發(fā)表于 02-28 06:22

    什么是局部放電?

    局部放電測(cè)試儀可以幫助眾多電力工作者更加方便的進(jìn)行各類電力測(cè)試。電氣系統(tǒng)是您工廠中最有價(jià)值的資產(chǎn)之一,對(duì)您的利潤(rùn)影響最大。它們的生產(chǎn)和管理成本很高,故障幾乎總是導(dǎo)致災(zāi)難性的損失。電氣系統(tǒng)正在更高
    發(fā)表于 05-19 09:30

    四輸入最小 最大值選擇電路

    四輸入最小 最大值選擇電路
    發(fā)表于 09-25 10:37 ?2647次閱讀
    四輸入<b class='flag-5'>最小</b> <b class='flag-5'>最大</b>值選擇電路

    先驗(yàn)概率和代價(jià)函數(shù)均模糊時(shí)基于貝葉斯最小風(fēng)險(xiǎn)準(zhǔn)則的分布式?jīng)Q策

    先驗(yàn)概率和代價(jià)函數(shù)均模糊時(shí)基于貝葉斯最小風(fēng)險(xiǎn)準(zhǔn)則的分布式?jīng)Q策融合 當(dāng)先驗(yàn)概率和代價(jià)函數(shù)均為梯形模糊數(shù)時(shí),在貝葉斯最小風(fēng)險(xiǎn)準(zhǔn)則意義下,研究了在融合中心
    發(fā)表于 10-21 21:57 ?1494次閱讀
    先驗(yàn)概率和<b class='flag-5'>代價(jià)</b>函數(shù)均模糊時(shí)基于貝葉斯<b class='flag-5'>最小</b>風(fēng)險(xiǎn)準(zhǔn)則的分布式?jīng)Q策

    在Vivado下利用Tcl腳本對(duì)綜合后的網(wǎng)表進(jìn)行編輯過(guò)程

    在ISE下,對(duì)綜合后的網(wǎng)表進(jìn)行編輯幾乎是不可能的事情,但在Vivado下成為可能。Vivado對(duì)Tcl的支持,使得Tcl腳本在FPGA設(shè)計(jì)中有了用武之地。本文通過(guò)一個(gè)實(shí)例演示如何在Vivado下利用
    發(fā)表于 11-18 03:16 ?7315次閱讀
    在Vivado下利用<b class='flag-5'>Tcl</b>腳本對(duì)綜合后的網(wǎng)表進(jìn)行<b class='flag-5'>編輯</b>過(guò)程

    Vivado使用誤區(qū)與進(jìn)階——在Vivado中實(shí)現(xiàn)ECO功能

    對(duì)網(wǎng)表或是布局布線進(jìn)行局部編輯,從而在最短時(shí)間內(nèi),最小代價(jià)完成個(gè)別的設(shè)計(jì)
    發(fā)表于 11-18 18:26 ?5255次閱讀
    Vivado使用誤區(qū)與進(jìn)階——在Vivado中實(shí)現(xiàn)ECO功能

    基于免疫量子粒子群優(yōu)化的最小測(cè)試代價(jià)屬性約簡(jiǎn)算法

    為了解決測(cè)試代價(jià)敏感屬性約簡(jiǎn)的高效性和準(zhǔn)確性問(wèn)題,提出一種基于免疫量子粒子群優(yōu)化的最小測(cè)試代價(jià)屬性約簡(jiǎn)算法。依據(jù)條件信息熵和測(cè)試代價(jià)因素定義適當(dāng)?shù)倪m應(yīng)值函數(shù),將
    發(fā)表于 11-20 10:15 ?5次下載

    最小費(fèi)用最大流的資源調(diào)度

    并行作業(yè)是大規(guī)模資源調(diào)度的研究熱點(diǎn).已有的研究工作通常采用隊(duì)列進(jìn)行資源調(diào)度建模,僅能滿足局部最優(yōu)解且只能適應(yīng)調(diào)度目標(biāo)固定不變的場(chǎng)景,靈活性不夠.提出了一種基于最小費(fèi)用最大流的大規(guī)模資源調(diào)度建模方法
    發(fā)表于 12-30 15:44 ?0次下載
    <b class='flag-5'>最小</b>費(fèi)用<b class='flag-5'>最大</b>流的資源調(diào)度

    局部拓?fù)淇刂频木W(wǎng)絡(luò)路由方法

    代價(jià)最小局部認(rèn)知拓?fù)淇刂坡酚桑↙CTCR)算法,優(yōu)化網(wǎng)絡(luò)拓?fù)?,并在?yōu)化后的拓?fù)渖线M(jìn)行網(wǎng)絡(luò)路由的選擇。算法分析和仿真實(shí)驗(yàn)證明,在進(jìn)行認(rèn)知網(wǎng)絡(luò)路徑選擇時(shí),鏈路功耗和鏈路穩(wěn)定性均為重要參數(shù),需聯(lián)合優(yōu)化
    發(fā)表于 02-11 10:17 ?0次下載

    一種無(wú)線mesh網(wǎng)中最小編碼代價(jià)低時(shí)延多播路由協(xié)議

    提出了一種無(wú)線mesh網(wǎng)中最小網(wǎng)絡(luò)編碼代價(jià)低時(shí)延多播路由協(xié)議(MNCLDMR, minimal network coding and low delay multicast routing
    發(fā)表于 02-26 11:18 ?3次下載

    TCL電子(01070.HK)擬2.55億元出售TCL財(cái)務(wù)14%股權(quán)予華星光電

    TCL王牌(成都)擬向深圳市華星光電技術(shù)有限公司出售TCL財(cái)務(wù)14%股權(quán),代價(jià)為約人民幣2.55億元。
    的頭像 發(fā)表于 08-13 15:18 ?4755次閱讀

    pcb設(shè)計(jì)改動(dòng)原理圖 未改動(dòng)(部分)的元器件布局發(fā)生變化的原因

    Altium原理圖更新時(shí),未改變?cè)?,但PCB出現(xiàn)改動(dòng)的解決方法 pcb設(shè)計(jì)時(shí),改動(dòng)原理圖,修改完成后,導(dǎo)入PCB過(guò)程中,發(fā)現(xiàn)PCB中未改動(dòng)(部分)的元器件 布局發(fā)生了變化,沒
    的頭像 發(fā)表于 10-22 09:51 ?6359次閱讀
    pcb設(shè)計(jì)<b class='flag-5'>改動(dòng)</b>原理圖 未<b class='flag-5'>改動(dòng)</b>(部分)的元器件布局發(fā)生變化的原因

    一種CPS最小防御代價(jià)計(jì)算方法及工具

    擊防御樹進(jìn)行預(yù)處理,將其轉(zhuǎn)換為原子攻擊防御樹,釆用代數(shù)方法進(jìn)行最小防御代價(jià)計(jì)算。基于此,在 Eclipse平臺(tái)上利用Jaⅵa語(yǔ)言實(shí)現(xiàn)一款最小防御代價(jià)計(jì)算軟件。
    發(fā)表于 03-26 14:22 ?16次下載
    一種CPS<b class='flag-5'>最小</b>防御<b class='flag-5'>代價(jià)</b>計(jì)算方法及工具

    基于約束關(guān)鍵路徑的代價(jià)優(yōu)化調(diào)度算法

    任務(wù)的向上權(quán)值,將工作流分解成約束關(guān)鍵路徑(CCP)集合。結(jié)合首次適應(yīng)插入算法減少空閑時(shí)隙,改善費(fèi)用優(yōu)化效果,采用及時(shí)完成最小費(fèi)用增長(zhǎng)代價(jià)的虛擬機(jī)選擇策略形成備選資源集合。整體分配
    發(fā)表于 05-19 11:05 ?2次下載

    在Vivado中實(shí)現(xiàn)ECO功能

    設(shè)計(jì)實(shí)現(xiàn)流程后,引出了一個(gè)更細(xì)節(jié)的應(yīng)用場(chǎng)景:如何利用 Tcl 在已完成布局布線的設(shè)計(jì)上對(duì)網(wǎng)表或是布局布線進(jìn)行局部編輯,從而在最短時(shí)間內(nèi),
    的頭像 發(fā)表于 05-05 15:34 ?2281次閱讀
    在Vivado中實(shí)現(xiàn)ECO功能