0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

3D封裝火熱 臺積電和英特爾各領(lǐng)風(fēng)騷

旺材芯片 ? 來源:yxw ? 2019-07-06 10:50 ? 次閱讀

自2018年4月始,臺積電已在眾多技術(shù)論壇或研討會中揭露創(chuàng)新的SoIC技術(shù),這個(gè)被譽(yù)為再度狠甩三星在后的秘密武器,究竟是如何厲害?

臺積電首度對外界公布創(chuàng)新的系統(tǒng)整合單芯片(SoIC)多芯片3D堆疊技術(shù),是在2018年4月的美國加州圣塔克拉拉(Santa Clara)第二十四屆年度技術(shù)研討會上。

推進(jìn)摩爾定律臺積電力推SoIC 3D封裝技術(shù)

隨著先進(jìn)納米制程已逼近物理極限,摩爾定律發(fā)展已難以為繼,無法再靠縮小線寬同時(shí)滿足性能、功耗、面積及訊號傳輸速度等要求;再加上封裝技術(shù)難以跟上先進(jìn)制程的發(fā)展進(jìn)程,因此三星、臺積電、英特爾等晶圓代工巨擘紛紛跨足封裝領(lǐng)域,要借重先進(jìn)的封裝技術(shù)實(shí)現(xiàn)更高性能、更低耗電量、更為小體積、訊號傳輸速度更快的產(chǎn)品。

甚至,在逐步進(jìn)入后摩爾定律時(shí)代后,晶圓代工大廠的發(fā)展重心,也逐漸從過去追求更先進(jìn)納米制程,轉(zhuǎn)向封裝技術(shù)的創(chuàng)新。而,SoIC就在這樣的前提之下誕生了。

若以臺積電于2009年正式進(jìn)軍封裝領(lǐng)域估算,SoIC是臺積電耗費(fèi)十年才磨出的寶劍,被譽(yù)為可再次把三星狠狠甩在后頭、實(shí)現(xiàn)3D IC的高階封裝技術(shù)。

晶圓對晶圓的3D IC技術(shù)

根據(jù)臺積電在第二十四屆年度技術(shù)研討會中的說明,SoIC是一種創(chuàng)新的多芯片堆疊技術(shù),是一種晶圓對晶圓(Wafer-on-wafer)的鍵合(Bonding)技術(shù),這是一種3D IC制程技術(shù),可以讓臺積電具備直接為客戶生產(chǎn)3D IC的能力。

讓外界大感驚艷的是,SoIC技術(shù)是采用硅穿孔(TSV)技術(shù),可以達(dá)到無凸起的鍵合結(jié)構(gòu),可以把很多不同性質(zhì)的臨近芯片整合在一起,而且當(dāng)中最關(guān)鍵、最神秘之處,就在于接合的材料,號稱是價(jià)值高達(dá)十億美元的機(jī)密材料,因此能直接透過微小的孔隙溝通多層的芯片,達(dá)成在相同的體積增加多倍以上的性能,簡言之,可以持續(xù)維持摩爾定律的優(yōu)勢。

據(jù)了解,SoIC是基于臺積電的CoWoS(Chip on wafer on Substrate)與多晶圓堆疊(WoW)封裝技術(shù)開發(fā)的新一代創(chuàng)新封裝技術(shù),未來將應(yīng)用于十納米及以下的先進(jìn)制程進(jìn)行晶圓級的鍵合技術(shù),被視為進(jìn)一步強(qiáng)化臺積電先進(jìn)納米制程競爭力的利器。2018年10月,臺積電在第三季法說會上,已針對萬眾矚目的SoIC技術(shù)給出明確量產(chǎn)時(shí)間,預(yù)期2020年開始挹注臺積電的營收貢獻(xiàn),至2021年將會大量生產(chǎn),挹注臺積電更加顯著的營收貢獻(xiàn)。

六月,臺積電赴日本參加VLSI技術(shù)及電路研討會發(fā)表技術(shù)論文時(shí),也針對SoIC技術(shù)揭露論文,論文中表示SoIC解決方案將不同尺寸、制程技術(shù)及材料的裸晶堆疊在一起。相較于傳統(tǒng)使用微凸塊的三維積體電路解決方案,臺積電的SoIC的凸塊密度與速度高出數(shù)倍,同時(shí)大幅減少功耗。此外,SoIC能夠利用臺積電的InFO或CoWoS的后端先進(jìn)封裝至技術(shù)來整合其他芯片,打造強(qiáng)大的3D×3D系統(tǒng)級解決方案。

外界咸認(rèn),從臺積電最初提出的2.5版CoWoS技術(shù),至獨(dú)吃蘋果的武器InFO(整合型扇型封裝)技術(shù),下一個(gè)稱霸晶圓代工產(chǎn)業(yè)的,就是SoIC技術(shù)。

攤開臺積電公布的2019年第一季財(cái)報(bào),10納米及以下納米制程的營收貢獻(xiàn),已大大超越16納米制程的營收貢獻(xiàn),凸顯出未來十納米及以下先進(jìn)制程已勢不可當(dāng)。

也因此,2019年,電子設(shè)計(jì)自動化(EDA)大廠,如益華電腦(Cadence)、明導(dǎo)國際(Mentor)、ANSYS皆已相繼推出支援臺積電SoIC的解決方案,并已通過臺積電認(rèn)證,準(zhǔn)備迎接SoIC輝煌時(shí)代的來臨。

英特爾「Foveros」3D封裝技術(shù)打造首款異質(zhì)處理器

英特爾(Intel)在今年的COMPUTEX終于正式宣布,其10納米的處理器「Ice Lake」開始量產(chǎn),但是另一個(gè)10納米產(chǎn)品「Lakefiled」卻缺席了。

雖然同樣使用10納米制程,但「Lakefiled」是一個(gè)更高階的產(chǎn)品,同時(shí)也將是是英特爾首款使用3D封裝技術(shù)的異質(zhì)整合處理器。

根據(jù)英特爾發(fā)布的資料,「Lakefield」處理器,不僅在單一芯片中使用了一個(gè)10nm FinFET制程的「Sunny Cove」架構(gòu)主核心,另外還配置了4個(gè)也以10nm FinFET制程生產(chǎn)的「Tremont」架構(gòu)的小核心。此外,還內(nèi)建LP-DDR4記憶體控制器、L2和L3快取記憶體,以及一個(gè)11代的GPU。

而能夠?qū)⑦@么多的處理核心和運(yùn)算單元打包成一個(gè)單芯片,且整體體積僅有12 x 12mm,所仰賴的就是「Foveros」3D封裝技術(shù)。

在年初的架構(gòu)日上,英特爾也特別針對「Foveros」技術(shù)做說明。英特爾指出,不同于過去的3D芯片堆疊技術(shù),F(xiàn)overos能做到邏輯芯片對邏輯芯片的直接貼合。

英特爾表示,F(xiàn)overos的問世,可以為裝置與系統(tǒng)帶來更高性能、高密度、低功耗的處理芯片技術(shù)。Foveros可以超越目前被動中介層(interposers)的芯片堆疊技術(shù),同時(shí)首次把記憶體堆疊到如CPU、繪圖芯片和AI處理器等,這類高性能邏輯芯片之上。

此外,英特爾也強(qiáng)調(diào),新技術(shù)將提供卓越的設(shè)計(jì)彈性,尤其當(dāng)開發(fā)者想在新的裝置外型中,置入不同類型記憶體和I/O元素的混合IP區(qū)塊。它能將產(chǎn)品分拆成更小的「微芯片(chiplets)」結(jié)構(gòu),讓I/O、SRAM電源傳遞電路可以在配建在底層的裸晶上,接著高性能的邏輯微芯片則可進(jìn)一步堆疊在其上。

英特爾甚至強(qiáng)調(diào),F(xiàn)overos技術(shù)的問世是該公司在3D封裝上的一大進(jìn)展,是繼EMIB(Embedded Multi-die Interconnect Bridge)2D封裝技術(shù)之后的一大突破。

TSV與μbumps技術(shù)是量產(chǎn)關(guān)鍵

而從英特爾所揭露的技術(shù)資料可看出,F(xiàn)overos本身就是一種3D IC技術(shù),透過硅穿孔(Through-Silicon Via, TSV)技術(shù)與微凸塊(micro-bumps)搭配,把不同的邏輯芯片堆疊起來。

其架構(gòu)概念就是在一塊基礎(chǔ)的運(yùn)算微芯片(compute chiplet)上,以TSV加上微凸塊的方式,堆疊其他的運(yùn)算晶粒(die)和微芯片(chiplets),例如GPU和記憶體,甚至是RF元件等,最后再把整個(gè)結(jié)構(gòu)打包封裝。

而英特爾目前所使用的制程已達(dá)到10納米,預(yù)計(jì)也可以順利推進(jìn)至7納米,也此透過此3D封裝技術(shù),將可在單一芯片中達(dá)成絕佳的運(yùn)算效能,并持續(xù)推進(jìn)摩爾定律。

英特爾更特別把此技術(shù)稱為「臉貼臉(Face-to-Face)」的封裝,強(qiáng)調(diào)它芯片對芯片封裝的特點(diǎn)。而要達(dá)成此技術(shù),TSV與微凸塊(μbumps)的先進(jìn)制程技術(shù)就是關(guān)鍵,尤其是凸塊接點(diǎn)的間距(pitch)僅有約36微米(micron),如何透過優(yōu)異的打線流程來達(dá)成,就非??简?yàn)英特爾的生產(chǎn)技術(shù)了。

但是英特爾也指出,F(xiàn)overos技術(shù)仍存在三個(gè)挑戰(zhàn),分別為散熱、供電、以及良率。由于多芯片的堆疊,勢必會大幅加大熱源密度;而上下層邏輯芯片的供電性能也會受到挑戰(zhàn);而如何克服上述的問題,并在合理的成本內(nèi)進(jìn)行量產(chǎn)供貨,則是最后的一道關(guān)卡。

依照英特爾先前發(fā)布的時(shí)程,「Lakefield」處理器應(yīng)該會在今年稍晚推出,但由于英特爾沒有在COMPUTEX更新此一產(chǎn)品的進(jìn)度,是否能順利推出仍有待觀察。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49674

    瀏覽量

    417354
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9757

    瀏覽量

    170697
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5539

    瀏覽量

    165714
  • 3D封裝
    +關(guān)注

    關(guān)注

    7

    文章

    124

    瀏覽量

    27027

原文標(biāo)題:3D封裝火熱,臺積電和英特爾各領(lǐng)風(fēng)騷

文章出處:【微信號:wc_ysj,微信公眾號:旺材芯片】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    英特爾3nm芯片訂單,開啟晶圓生產(chǎn)新篇章

    近日,據(jù)業(yè)界知情人士透露,全球知名的半導(dǎo)體制造巨頭已成功獲得英特爾即將推出的筆記本電腦處理器系列的3nm芯片訂單,標(biāo)志著雙方合作的新里
    的頭像 發(fā)表于 06-20 09:26 ?514次閱讀

    新思科技與英特爾在UCIe互操作性測試進(jìn)展

    英特爾的測試芯片Pike Creek由基于Intel 3技術(shù)制造的英特爾UCIe IP小芯片組成。它與采用
    的頭像 發(fā)表于 04-18 14:22 ?558次閱讀

    英特爾今年將建2nm晶圓廠

    英特爾有意在此領(lǐng)域領(lǐng)跑,成為首家實(shí)現(xiàn)2納米芯片商業(yè)化的晶圓代工廠。該公司的新款PC處理器Arcturus Lake將成為2納米制程加持下的首批產(chǎn)品,其余職位則交給了負(fù)責(zé)生產(chǎn)。
    的頭像 發(fā)表于 03-27 16:10 ?265次閱讀

    、英特爾引領(lǐng)半導(dǎo)體行業(yè)先進(jìn)封裝技術(shù)創(chuàng)新

    這一聯(lián)盟目前有超過120家企業(yè)加盟,包括、三星、ASE、AMD、ARM、高通、谷歌、Meta(Facebook)、微軟等業(yè)界翹楚,由英特爾擔(dān)當(dāng)主導(dǎo)力量。該聯(lián)盟旨在創(chuàng)建全新Chip
    的頭像 發(fā)表于 03-20 09:55 ?401次閱讀

    英特爾CFO稱將持續(xù)從采購,18A節(jié)點(diǎn)爭取少量代工訂單

    辛斯納強(qiáng)調(diào),盡管當(dāng)前不完全依賴,但英特爾
    的頭像 發(fā)表于 03-18 10:19 ?354次閱讀

    英特爾CFO承諾維持與合作,將在18A節(jié)點(diǎn)獲得少量代工訂單

    據(jù)3月15日消息,在摩根士丹利TMT會上,英特爾CFO辛斯納透露,英特爾將繼續(xù)作為的客戶,
    的頭像 發(fā)表于 03-15 14:39 ?636次閱讀

    英特爾1nm投產(chǎn)時(shí)間曝光!領(lǐng)先于

    英特爾行業(yè)芯事
    深圳市浮思特科技有限公司
    發(fā)布于 :2024年02月28日 16:28:32

    英特爾將進(jìn)軍Arm芯片領(lǐng)域并不斷追趕的代工市場份額!

    2月27日消息,近日在接受 Tom's Hardware 采訪時(shí),英特爾代工負(fù)責(zé)人斯圖爾特?潘(Stu Pann)表示將會進(jìn)軍 Arm 芯片,并不斷追趕的代工市場份額。
    的頭像 發(fā)表于 02-28 10:07 ?457次閱讀

    英特爾委任代工CPU,提升其運(yùn)營實(shí)力

    基辛格在英特爾“IFS Direct Connect 2024”大會上接受采訪時(shí)表示,該訂單涉及對臺3納米訂單中占較大比例的CPU芯片塊,對行業(yè)和市場產(chǎn)生重大影響。此前,盡管市場
    的頭像 發(fā)表于 02-23 09:52 ?909次閱讀

    英特爾實(shí)現(xiàn)3D先進(jìn)封裝技術(shù)的大規(guī)模量產(chǎn)

    近日,英特爾宣布已經(jīng)實(shí)現(xiàn)了基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括其突破性的3D封裝技術(shù)Foveros。這項(xiàng)技術(shù)為多種芯片的組合提供了前所未有的靈活選擇,為功耗、性能和成
    的頭像 發(fā)表于 02-01 14:40 ?565次閱讀

    英特爾實(shí)現(xiàn)大規(guī)模生產(chǎn)3D封裝技術(shù)Foveros

    英特爾最近宣布,他們已經(jīng)實(shí)現(xiàn)了基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括具有劃時(shí)代意義的3D封裝技術(shù)Foveros。
    的頭像 發(fā)表于 01-26 16:53 ?1260次閱讀

    英特爾量產(chǎn)3D Foveros封裝技術(shù)

    英特爾封裝技術(shù)方面取得了重大突破,并已經(jīng)開始大規(guī)模生產(chǎn)基于3D Foveros技術(shù)的產(chǎn)品。這項(xiàng)技術(shù)使得英特爾能夠在單個(gè)封裝中整合多個(gè)小芯片
    的頭像 發(fā)表于 01-26 16:04 ?500次閱讀

    英特爾3D封裝技術(shù)實(shí)現(xiàn)大規(guī)模量產(chǎn)

    近日,英特爾(Intel)宣布,其已成功實(shí)現(xiàn)基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括突破性的3D封裝技術(shù)Foveros。這一技術(shù)在新墨西哥州Fab 9工廠中完成升級并投產(chǎn)
    的頭像 發(fā)表于 01-26 16:03 ?499次閱讀

    英特爾實(shí)現(xiàn)3D先進(jìn)封裝技術(shù)的大規(guī)模量產(chǎn)

    英特爾宣布已實(shí)現(xiàn)基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括英特爾突破性的3D封裝技術(shù)Foveros,該技術(shù)為多種芯片的組合提供了
    的頭像 發(fā)表于 01-25 14:24 ?230次閱讀

    英特爾,大戰(zhàn)一觸即發(fā)

    和三星可能會跟隨英特爾落后一兩年進(jìn)入背面供電領(lǐng)域。
    的頭像 發(fā)表于 01-03 16:09 ?766次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,大戰(zhàn)一觸即發(fā)