電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>電子技術(shù)應(yīng)用>電路原理圖>電源>電源電路圖>TC-3330與數(shù)字頻率計(jì)組成的測(cè)試電路

TC-3330與數(shù)字頻率計(jì)組成的測(cè)試電路

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

[求助]數(shù)字頻率計(jì)設(shè)計(jì)

     我是一大三測(cè)控專業(yè)的學(xué)生,我們微電子實(shí)習(xí)需要設(shè)計(jì)一數(shù)字頻率計(jì),個(gè)人由于能力限制,許多方面都還不是很明白,希望能得到
2008-12-12 19:01:39

基于51單片機(jī)的數(shù)字頻率計(jì)原理圖

基于單片機(jī)的數(shù)字頻率計(jì)設(shè)計(jì)原理圖免費(fèi)下載。
2022-04-18 09:24:439

基于單片機(jī)的數(shù)字頻率計(jì)設(shè)計(jì)

,方波信號(hào)及其他各種單位時(shí)間內(nèi)變化的物理量。在進(jìn)行模擬、數(shù)字電路的設(shè)計(jì)、安裝、調(diào)試過程中,由于其使用十進(jìn)制數(shù)顯示,測(cè)量迅速,精確度高,顯示直觀,經(jīng)常要用到頻率計(jì)。? ?本數(shù)字頻率計(jì)將采用定時(shí)、計(jì)數(shù)的方法
2021-11-04 12:51:0431

數(shù)字頻率計(jì) 風(fēng)力擺 雙向DC-DC變換器

數(shù)字頻率計(jì) 風(fēng)力擺 雙向DC-DC變換器(服務(wù)器電源a類)-數(shù)字頻率計(jì)、風(fēng)力擺、雙向DC-DC變換器
2021-07-26 14:16:3912

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解(單片機(jī)原理及應(yīng)用)-該文檔為基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解資料,講解的還不錯(cuò),感興趣的可以下載看看…………………………
2021-07-22 10:54:4385

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)簡(jiǎn)介

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)說明。
2021-05-27 14:41:5750

數(shù)字頻率計(jì)VHDL程序與仿真的設(shè)計(jì)資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是數(shù)字頻率計(jì)VHDL程序與仿真的設(shè)計(jì)資料免費(fèi)下載。
2021-01-20 13:44:1828

數(shù)字頻率計(jì)的設(shè)計(jì)程序和工程文件免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是數(shù)字頻率計(jì)的設(shè)計(jì)程序和工程文件免費(fèi)下載。
2020-11-20 17:59:2330

使用FPGA設(shè)計(jì)的數(shù)字頻率計(jì)Verilog程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA設(shè)計(jì)的數(shù)字頻率計(jì)Verilog程序免費(fèi)下載。
2020-01-06 08:00:0024

使用Multisim制作的簡(jiǎn)易數(shù)字頻率計(jì)電路圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Multisim制作的簡(jiǎn)易數(shù)字頻率計(jì)電路圖免費(fèi)下載。
2019-06-19 08:00:0065

如何進(jìn)行簡(jiǎn)易數(shù)字頻率計(jì)的設(shè)計(jì)分析

文章簡(jiǎn)單闡述了數(shù)字頻率計(jì)在設(shè)計(jì)之前的理論分析,給出了數(shù)字頻率計(jì)誤差分析、靈敏度涵義、觸發(fā)信號(hào)誤差、信號(hào)時(shí)間間隔的測(cè)量等問題的理論分析,為數(shù)字頻率計(jì)的設(shè)計(jì)打下了一定的基礎(chǔ)。
2019-05-27 08:00:007

基于FPGA自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì)

頻率的測(cè)量。目前,市場(chǎng)上有各種多功能、高精度、高頻率數(shù)字頻率計(jì),但價(jià)格不菲。為適應(yīng)實(shí)際工作的需要,本文在簡(jiǎn)述頻率測(cè)量的基本原理和方法的基礎(chǔ)上,提供一種基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)和實(shí)現(xiàn)過程,本方案不但切實(shí)可行,而且具有成本低廉、小巧輕便、便于攜帶等特點(diǎn)。
2019-01-01 16:00:006008

基于單片機(jī)設(shè)計(jì)的數(shù)字頻率計(jì)文檔下載

單片機(jī)設(shè)計(jì)的數(shù)字頻率計(jì)論文及總結(jié)
2017-12-21 17:10:3834

基于單片機(jī)的自動(dòng)量程數(shù)字頻率計(jì)的設(shè)計(jì)

基于單片機(jī)的自動(dòng)量程數(shù)字頻率計(jì)的設(shè)計(jì),
2017-10-26 10:59:1729

基于單片機(jī)的系統(tǒng)硬件數(shù)字頻率計(jì)的設(shè)計(jì)

基于單片機(jī)的系統(tǒng)硬件數(shù)字頻率計(jì)的設(shè)計(jì)
2017-09-21 15:32:5046

基于FPGA數(shù)字頻率計(jì)的設(shè)計(jì)

數(shù)字頻率計(jì)設(shè)計(jì)要點(diǎn),代碼(電子設(shè)計(jì)競(jìng)賽編程、寫論文時(shí)可用)
2017-08-04 09:19:1049

DDS脈沖數(shù)字頻率計(jì)

DDS脈沖。數(shù)字頻率計(jì)
2017-05-18 09:31:527

基于51單片機(jī)軟核的數(shù)字頻率計(jì)設(shè)計(jì)_湯書森

基于51單片機(jī)軟核的數(shù)字頻率計(jì)設(shè)計(jì)_湯書森,感興趣的小伙伴們可以看看。
2016-08-17 11:54:06102

基于Multisim的數(shù)字頻率計(jì)

簡(jiǎn)單的基于Multisim的數(shù)字頻率計(jì)資料。
2016-06-21 17:02:48266

簡(jiǎn)易數(shù)字頻率計(jì)

設(shè)計(jì)頻率計(jì)的過程,希望對(duì)大家有用。數(shù)字頻率計(jì)的設(shè)計(jì)包括時(shí)基電路、整形電路、控制電路和計(jì)數(shù)顯示電路四部分組成
2016-06-15 14:22:09181

畢業(yè)論文:一種基于單片機(jī)數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

數(shù)字頻率計(jì)的設(shè)計(jì),protel仿真,at89c52。
2016-05-26 11:46:3462

EDA報(bào)告(數(shù)字頻率計(jì))

這是一篇關(guān)于EDA課程的關(guān)于數(shù)字頻率計(jì)如何設(shè)計(jì)的課設(shè)說明書。
2016-05-13 15:07:445

數(shù)字頻率計(jì)

數(shù)字頻率計(jì)數(shù)字電路課程的課程設(shè)計(jì), 1) 頻率測(cè)量范圍:1HZ-10KHZ,10KHZ-100KHZ。 2)測(cè)量時(shí)間:T≤1.5S。 3)被測(cè)信號(hào)幅度:0.5V。 4) 具有四位十進(jìn)制數(shù)字顯示功能。
2016-05-13 11:28:0547

AT89S51制作的高精度2.4G數(shù)字頻率計(jì)

AT89S51制作的高精度2.4G數(shù)字頻率計(jì),可以自己diy一個(gè)哦
2016-05-06 15:06:0951

數(shù)字頻率計(jì)設(shè)計(jì)論文

數(shù)字頻率計(jì)設(shè)計(jì)的論文,可以參考,對(duì)畢設(shè)有用的。
2016-05-06 10:25:52109

基于15芯片的數(shù)字頻率計(jì)

基于15芯片的數(shù)字頻率計(jì),內(nèi)含有詳細(xì)程序,經(jīng)測(cè)試能正常使用,可測(cè)8兆左右的頻率。望采納。
2016-04-29 16:50:266

數(shù)字頻率計(jì)的基本原理

帶仿真圖,簡(jiǎn)單說明數(shù)字頻率計(jì)的設(shè)計(jì)過程及原理
2016-03-18 14:47:4612

大學(xué)數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告

數(shù)字頻率計(jì)是一種專門對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的電子測(cè)量?jī)x器。其最基本的工作原理為:當(dāng)被測(cè)信號(hào)在特定時(shí)間段T內(nèi)的周期個(gè)數(shù)為N時(shí),則被測(cè)信號(hào)的頻率f=N/T。
2016-03-01 17:29:0863

數(shù)字頻率計(jì)源程序+電路

數(shù)字頻率計(jì),正弦信號(hào),前面有信號(hào)處理電路,proteus仿真
2015-12-23 10:31:5561

基于51單片機(jī)的數(shù)字頻率計(jì)

基于51單片機(jī)的數(shù)字頻率計(jì)源程序。
2015-12-17 15:12:54112

簡(jiǎn)易數(shù)字頻率計(jì)設(shè)計(jì)

設(shè)計(jì)簡(jiǎn)易數(shù)字頻率計(jì),想學(xué)習(xí)的趕緊下載看看。
2015-12-14 17:40:00111

基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)

基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)
2015-12-08 15:57:2374

數(shù)字頻率計(jì)的制作

數(shù)字頻率計(jì)是采用數(shù)字電路制做成的能實(shí)現(xiàn)對(duì)周期性變化信號(hào)頻率測(cè)量的儀器。頻率計(jì)主要用于測(cè)量正弦波、矩形波、三角波和尖脈沖等周期信號(hào)的頻率值。其擴(kuò)展功能可以測(cè)量信號(hào)的周期和脈沖寬度。通常說的,數(shù)字頻率計(jì)是指電子計(jì)數(shù)式頻率計(jì)。
2015-11-20 14:55:57142

基于單片機(jī)的數(shù)字頻率計(jì)電路

基于單片機(jī)的數(shù)字頻率計(jì)電路圖如下圖所示,其頻率范圍是1Hz-5MHz,使用7位數(shù)碼管先是測(cè)量結(jié)果,如果在輸入端加上高頻分頻器還可以擴(kuò)展量程。
2012-07-19 09:58:594914

基于DSP2812設(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)

我們?cè)O(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)在未采用任何門控器件控制的情況下,在很寬的范圍內(nèi)實(shí)現(xiàn)了等精度頻率測(cè)量,0.5Hz~10MHz的范圍內(nèi)測(cè)量方波的最大相對(duì)誤差小于2e-6,測(cè)量正弦波的最大相對(duì)誤
2011-10-09 11:46:554613

基于NiosII的等精度數(shù)字頻率計(jì)的設(shè)計(jì)

NiosII 處理器是一個(gè)具有很大靈活性的32 軟核處理器,比較容易在片上實(shí)現(xiàn)SOPC。本文主要提供了一種基于NiosII 軟核處理器的等精度數(shù)字頻率計(jì)的設(shè)計(jì)的實(shí)現(xiàn)方法。
2011-09-27 14:51:2566

設(shè)計(jì)簡(jiǎn)易數(shù)字頻率計(jì)

頻率測(cè)量用途非常廣泛,高精度、寬量程的數(shù)字頻率計(jì)因而成為重要的測(cè)量?jī)x器。簡(jiǎn)易 數(shù)字頻率計(jì) 采用多周期測(cè)量原理,即用標(biāo)準(zhǔn)頻率信號(hào)填充整數(shù)個(gè)周期的被測(cè)信號(hào),從而消除了被
2011-06-28 17:31:421194

數(shù)字頻率計(jì)

數(shù)字頻率計(jì)是一種基礎(chǔ)測(cè)量?jī)x器,到目前為止已有30多年的發(fā)展史。早期,設(shè)計(jì)師們追求的目標(biāo)主要是擴(kuò)展測(cè)量范圍,再加上提高測(cè)量精度、穩(wěn)定度等,這些也是人們衡量數(shù)字頻率計(jì)
2011-04-07 13:40:59922

12位十進(jìn)制等精度數(shù)字頻率計(jì)

分析了數(shù)字頻率計(jì),利用VHDL設(shè)計(jì)一種量程可自動(dòng)轉(zhuǎn)換的12位十進(jìn)制等精度數(shù)字頻率計(jì),具有自動(dòng)校驗(yàn)和測(cè)量功能,能用標(biāo)準(zhǔn)時(shí)鐘校驗(yàn)測(cè)量精度,并具有超量程報(bào)警功能,超出當(dāng)前
2010-12-16 11:23:3498

簡(jiǎn)易數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告

簡(jiǎn)易數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告
2010-08-10 11:45:26628

超高頻數(shù)字頻率計(jì)的設(shè)計(jì)

摘要:介紹了以通用頻率計(jì)數(shù)器ICM7216D為核心,結(jié)合大規(guī)模集成電路和簡(jiǎn)單的外圍電路,采用分頻法進(jìn)行測(cè)量范圍為10kHz~700MHz的超高頻數(shù)字頻率計(jì)的設(shè)計(jì)方法,并給出了該頻率計(jì)
2010-05-25 09:03:31100

八位150MHz數(shù)字頻率計(jì)的制作

八位150MHz數(shù)字頻率計(jì)的制作 在制作高頻電路時(shí),會(huì)經(jīng)常碰到頻率測(cè)量的問題,實(shí)際上頻率計(jì)(frequency counter)是一種比較容易自制的電子設(shè)備。以下將要制作的頻率計(jì),其
2010-05-14 10:21:206257

基于FPGA/CPLD芯片的數(shù)字頻率計(jì)設(shè)計(jì)

基于FPGA/CPLD芯片的數(shù)字頻率計(jì)設(shè)計(jì)摘要:詳細(xì)論述了利用VHDL硬件描述語言設(shè)計(jì),并在EDA(電子設(shè)計(jì)自動(dòng)化)工具的幫助下,用大規(guī)模可編程邏輯器件(FPGA/CPLD)實(shí)現(xiàn)
2010-04-30 14:45:13132

基于單片機(jī)的數(shù)字頻率計(jì)的方案

基于單片機(jī)的數(shù)字頻率計(jì)的方案 概述:設(shè)計(jì)一種以單片機(jī)AT89C51為核心的數(shù)字頻率計(jì),介紹了單片機(jī)、數(shù)字譯碼和顯示單元的組成及工作原理。測(cè)量
2010-03-24 10:02:016181

數(shù)字頻率計(jì)設(shè)計(jì)

數(shù)字頻率計(jì)設(shè)計(jì) 一、實(shí)驗(yàn)?zāi)康?nbsp;             
2009-06-22 08:40:0435258

基于高速串行BCD碼除法的數(shù)字頻率計(jì)的設(shè)計(jì)

摘要: 介紹了在PPGA芯片上實(shí)現(xiàn)數(shù)字頻率計(jì)的原理。對(duì)各種硬件除法進(jìn)行了比較,提出了高速串行BCD碼除法的硬件算法,并將其應(yīng)用在頻率計(jì)設(shè)計(jì)中。
2009-06-20 14:48:141147

數(shù)字頻率計(jì)電路

數(shù)字頻率計(jì)電路
2009-04-11 11:31:292227

數(shù)字頻率計(jì)電路

數(shù)字頻率計(jì)電路
2009-04-11 11:30:345577

基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

介紹了一種運(yùn)用FPGA開發(fā)軟件Quartus II設(shè)計(jì)的數(shù)字頻率計(jì)。該數(shù)字頻率計(jì)的1 Hz~1 MHz輸入被測(cè)脈沖信號(hào)具有頻率測(cè)量、周期測(cè)量、脈寬測(cè)量和占空比測(cè)量等多種用途,其測(cè)試結(jié)果由3 只
2009-03-17 10:31:31184

量程自動(dòng)控制的數(shù)字頻率計(jì)

量程自動(dòng)控制的數(shù)字頻率計(jì)一、 實(shí)驗(yàn)?zāi)康模?、數(shù)字系統(tǒng)的設(shè)計(jì)與調(diào)試2、TTL 數(shù)字集成電路的使用3、量程自動(dòng)控制的邏輯設(shè)計(jì)二、實(shí)驗(yàn)要求:設(shè)計(jì)
2009-03-06 19:56:012310

數(shù)字頻率計(jì)測(cè)頻率的基本原理

了解數(shù)字頻率計(jì)測(cè)頻率與測(cè)周期的基本原理;熟練掌握數(shù)字頻率計(jì)的設(shè)計(jì)與調(diào)試方法及減小測(cè)量誤差的方法。[重點(diǎn)與難點(diǎn)]重點(diǎn):數(shù)字頻率計(jì)組成框圖和波形圖。難點(diǎn):
2008-12-01 14:36:48505

基于單片機(jī)和CPLD的數(shù)字頻率計(jì)的設(shè)計(jì)

基于單片機(jī)和CPLD的數(shù)字頻率計(jì)的設(shè)計(jì) 在傳統(tǒng)的控制系統(tǒng)中,通常將單片機(jī)作為控制核心并輔以相應(yīng)的元器件構(gòu)成一個(gè)整體。但這種方法硬件連線復(fù)
2008-10-15 08:59:51992

數(shù)字頻率計(jì)課程設(shè)計(jì)圖

數(shù)字頻率計(jì)電路圖 包括了程序,PCB,原理圖。
2008-04-26 10:12:055955

基于FPGA的同步測(cè)周期高精度數(shù)字頻率計(jì)的設(shè)計(jì)

摘    要:本文介紹了一種同步測(cè)周期計(jì)數(shù)器的設(shè)計(jì),并基于該計(jì)數(shù)器設(shè)計(jì)了一個(gè)高精度的數(shù)字頻率計(jì)。文中給出了計(jì)數(shù)器的VHDL編碼,并對(duì)頻率計(jì)的FPGA實(shí)現(xiàn)進(jìn)行了仿真
2006-03-24 13:31:551853

已全部加載完成