電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>編程語(yǔ)言及工具>splice的原理和使用及代碼實(shí)現(xiàn)

splice的原理和使用及代碼實(shí)現(xiàn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

實(shí)現(xiàn)TCP的C代碼封裝(含代碼

實(shí)現(xiàn)TCP的C代碼封裝(含代碼
2022-09-28 16:03:071900

如何實(shí)現(xiàn)代碼的高內(nèi)聚、低耦合

大家在談到面向?qū)ο缶幊痰臅r(shí)候基本都是討論軟件的“高內(nèi)聚、低耦合”的特點(diǎn),這6個(gè)字也是算是成為了大部分評(píng)判代碼質(zhì)量的一個(gè)標(biāo)準(zhǔn),所以很多小伙伴一開(kāi)始學(xué)習(xí)編程就會(huì)問(wèn)道:"如何實(shí)現(xiàn)代碼的高內(nèi)聚、低耦合"?
2022-10-13 08:57:43784

實(shí)現(xiàn)代碼自動(dòng)生成的步驟

文章目錄一、 目的二、 基本思想三、 代碼實(shí)現(xiàn)四、 其他工作五、 補(bǔ)充一、 目的工作中有時(shí)候感覺(jué)編程也是一種重復(fù)性勞動(dòng),尤其是涉及到讀寫數(shù)據(jù)一類的內(nèi)容,還有一些需要進(jìn)行配置的場(chǎng)合,有時(shí)候就想,既然是
2021-08-17 09:14:23

DSP代碼的FPGA實(shí)現(xiàn)

DSP代碼大部分使用C語(yǔ)言編寫,實(shí)現(xiàn)了所需的功能,現(xiàn)在想移植到FPGA板子上,不知道該怎么辦?需要全部轉(zhuǎn)換Verilog代碼嗎?有沒(méi)有簡(jiǎn)便一點(diǎn)的方法。。。
2015-01-23 13:35:51

PID算法的代碼實(shí)現(xiàn)

目錄一. 緒論二. 角度環(huán)串級(jí)PID原理1. PID基本算法2. 姿態(tài)角串級(jí)PID原理三. 如何用STM32實(shí)現(xiàn)角度-角速度的串級(jí)PID控制1. PID算法的代碼實(shí)現(xiàn)2. 串級(jí)PID算法的代碼實(shí)現(xiàn)
2021-08-17 06:44:18

dvb ts傳輸標(biāo)準(zhǔn)iso13818-1

dvb ts傳輸標(biāo)準(zhǔn) iso13818-1ISO/IEC 13818-1 : 2000 (E)28 ITU-T Rec. H.222.0 (2000 E)Table 2-12 – Splice
2008-05-14 23:09:30

使用STMCubeMX生成代碼實(shí)現(xiàn)流水燈

使用STMCubeMX生成代碼(使用hal庫(kù))實(shí)現(xiàn)流水燈一、實(shí)驗(yàn)工具二、STM32CubeMX生成代碼三、Keil仿真調(diào)試生成hex文件四、運(yùn)行結(jié)果五、總結(jié)一、實(shí)驗(yàn)工具二、STM32CubeMX生成代碼三、Keil仿真調(diào)試生成hex文件四、運(yùn)行結(jié)果五、總結(jié)...
2022-02-17 06:07:26

如何代碼實(shí)現(xiàn)按鍵加減

(帶四個(gè)按鍵)(STC15W4K56S4_LQFP64)2、四位顯示數(shù)碼管所需基礎(chǔ)知識(shí)1、如何代碼實(shí)現(xiàn)按鍵加減2、tm1650數(shù)碼管驅(qū)動(dòng)顯示(LED驅(qū)動(dòng)專用電路)3、I2C通信協(xié)議TM1650數(shù)碼管驅(qū)動(dòng)(一)電路原理圖驅(qū)動(dòng)介紹(筆者是根據(jù)TM1650的開(kāi)發(fā)手冊(cè)來(lái)進(jìn)行學(xué)習(xí)的,
2021-12-03 06:06:24

如何實(shí)現(xiàn)XIP方式的flash代碼啟動(dòng)?

如何實(shí)現(xiàn)XIP方式的flash代碼啟動(dòng)?
2021-11-29 06:06:59

如何去實(shí)現(xiàn)按鍵功能代碼

按鍵代碼實(shí)現(xiàn)了哪些功能呢?如何去實(shí)現(xiàn)按鍵功能代碼呢?
2021-11-30 07:41:43

怎么使具體實(shí)現(xiàn)代碼不可見(jiàn)實(shí)現(xiàn)函數(shù)的封裝?

如何實(shí)現(xiàn)函數(shù)的封裝,即能讓別人調(diào)用,但是看不到具體的實(shí)現(xiàn)代碼。舉個(gè)例子,一個(gè)開(kāi)源項(xiàng)目,要把代碼公布出去,但是代碼中有些比較敏感的部分不想讓別人看到,比如通信協(xié)議神馬的,可以將這部分封裝起來(lái)。具體如何實(shí)現(xiàn)呢?
2020-03-12 22:18:46

有什么方法可以實(shí)現(xiàn)視頻代碼轉(zhuǎn)換嗎?

有什么方法可以實(shí)現(xiàn)視頻代碼轉(zhuǎn)換嗎?
2021-06-08 09:44:06

請(qǐng)問(wèn)有實(shí)現(xiàn)WRP的技巧或參考代碼嗎?

您好,請(qǐng)問(wèn)有實(shí)現(xiàn)WRP的技巧或參考代碼嗎?我需要防止更改原始代碼并添加來(lái)自惡意第三方的任何其他代碼。我使用 stm32f303k8 和 CubeIDE。感謝您提供的任何幫助。
2022-12-06 06:55:56

ab代碼實(shí)現(xiàn)例子-DPSK信號(hào)的調(diào)制解調(diào)Matlab代碼(采

ab代碼實(shí)現(xiàn)的一個(gè)例子(采用正交調(diào)制解調(diào)):DPSK信號(hào)的調(diào)制解調(diào)Matlab代碼實(shí)現(xiàn)的一個(gè)例子(采用正交調(diào)制解調(diào))clear;close all; Fb=1;%碼元速率Tb=1/Fb; I_shaping=16;%碼元成
2008-11-28 11:50:3757

Helix QAC 企業(yè)級(jí)代碼靜態(tài)測(cè)試工具

前言隨著現(xiàn)代車輛的智能化發(fā)展(ADAS, Telematics, Infotainment, Cloud Services),車載代碼量呈指數(shù)級(jí)增長(zhǎng),代碼靜態(tài)分析的自動(dòng)化勢(shì)在必行,且人們對(duì)功能安全
2022-07-25 16:16:11

VectorCAST—嵌入式代碼自動(dòng)化測(cè)試工具

VectorCAST是Vector旗下的一款權(quán)威的用于C/C++代碼的動(dòng)態(tài)自動(dòng)化測(cè)試工具,可實(shí)現(xiàn)單元/集成測(cè)試(VectorCAST/C++)和系統(tǒng)測(cè)試(VectorCAST/QA
2022-07-25 16:47:27

CPU核以及常用外設(shè)的FPGA實(shí)現(xiàn)相關(guān)文檔及源代碼

 CPU核以及常用外設(shè)的FPGA實(shí)現(xiàn)相關(guān)文檔及源代碼
2009-08-03 08:56:4542

數(shù)控專用加工代碼O代碼的功能實(shí)現(xiàn)

為了實(shí)現(xiàn)開(kāi)放式機(jī)床控制數(shù)控系統(tǒng)NC 代碼解析運(yùn)行的靈活性功能要求,提出了加工代碼的控制流語(yǔ)句O 代碼實(shí)現(xiàn)。通過(guò)對(duì)數(shù)控系統(tǒng)中的解析器模塊進(jìn)行分析,運(yùn)用在linux 平臺(tái)下面的
2009-10-13 17:59:3731

UDP穿透NAT的原理與實(shí)現(xiàn)(附源代碼

UDP穿透NAT的原理與實(shí)現(xiàn)(附源代碼)論壇上經(jīng)常有對(duì)P2P原理的討論
2010-02-09 14:36:4930

LCD12864 在Spartan-3E實(shí)現(xiàn)教程和代碼

LCD12864 在Spartan-3E實(shí)現(xiàn)教程和代碼 液晶圖形數(shù)據(jù)的生成     圖形液晶的
2010-02-09 14:46:0687

實(shí)現(xiàn)網(wǎng)頁(yè)中播放FLV文件的源代碼

實(shí)現(xiàn)網(wǎng)頁(yè)中播放FLV文件的源代碼 使用方法:方法一、js嵌入直接copy下面代碼,修改其中紅色部分,即:swf_width、swf_height、texts、files 參數(shù)
2010-02-09 15:30:1822

用Atmega8實(shí)現(xiàn)8路鍵盤D觸發(fā)鎖存器功能(含源程序代碼)

用Atmega8實(shí)現(xiàn)8路鍵盤D觸發(fā)鎖存器功能(含源程序代碼) 實(shí)現(xiàn)目的: 當(dāng)管腳設(shè)定為輸入時(shí),了解如何可以編程設(shè)
2008-11-01 09:42:221530

fpga實(shí)現(xiàn)jpeg Verilog源代碼

本站提供的fpga實(shí)現(xiàn)jpeg Verilog源代碼資料,希望能夠幫你的學(xué)習(xí)。
2011-05-27 15:09:53200

多路選擇器(MUX)功能實(shí)現(xiàn)Verilog HDL源代碼

電子發(fā)燒友網(wǎng)核心提示: 本例程是Verilog HDL源代碼:關(guān)于基本組合邏輯功能中多路選擇器(MUX)的功能實(shí)現(xiàn)代碼。注意:程序運(yùn)行在不同軟件平臺(tái)可能要作一些修改,請(qǐng)注意閱讀程序
2012-10-15 11:40:3221787

RFID代碼

可以實(shí)現(xiàn)rfid的接受和發(fā)送,具體的可以看代碼
2015-11-30 15:35:224

C&K發(fā)布面向惡劣環(huán)境應(yīng)用的 SPACE SPLICE 高可靠性單向連接器

  全球領(lǐng)先的電動(dòng)機(jī)械開(kāi)關(guān)、智能卡互聯(lián)器件和高可靠性連接器制造商 C&K Components 發(fā)布新型 Space Splice 高可靠性連接解決方案。新型單向 Space Splice 高可靠性
2016-05-06 15:06:16819

6--時(shí)間差分法(幀間差分法)opencv和vc代碼實(shí)現(xiàn)

時(shí)間差分法(幀間差分法)opencv和vc代碼實(shí)現(xiàn),用于目標(biāo)檢測(cè)
2016-05-17 10:31:4413

LCD12864 在Spartan-3E實(shí)現(xiàn)教程和代碼

Xilinx FPGA工程例子源碼:LCD12864 在Spartan-3E實(shí)現(xiàn)教程和代碼
2016-06-07 14:13:437

C語(yǔ)言實(shí)現(xiàn)運(yùn)算器的原理完整編程代碼

C語(yǔ)言實(shí)現(xiàn)運(yùn)算器的原理 完整編程代碼C language implementation of the principle of the operator complete programming code
2016-07-08 11:33:084

從Matlab_Simulink模型到代碼實(shí)現(xiàn)

從Matlab_Simulink模型到代碼實(shí)現(xiàn),感興趣的小伙伴們可以看看。
2016-08-09 17:33:130

華清遠(yuǎn)見(jiàn)FPGA代碼-VGA接口的設(shè)計(jì)與實(shí)現(xiàn)

華清遠(yuǎn)見(jiàn)FPGA代碼-VGA接口的設(shè)計(jì)與實(shí)現(xiàn)
2016-10-27 18:07:548

華清遠(yuǎn)見(jiàn)FPGA代碼-SDRAM讀寫控制的實(shí)現(xiàn)與Modelsim

華清遠(yuǎn)見(jiàn)FPGA代碼-SDRAM讀寫控制的實(shí)現(xiàn)與Modelsim仿真
2016-10-27 18:07:5426

華清遠(yuǎn)見(jiàn)FPGA代碼-RS-232C(UART)接口的設(shè)計(jì)與實(shí)現(xiàn)

華清遠(yuǎn)見(jiàn)FPGA代碼-RS-232C(UART)接口的設(shè)計(jì)與實(shí)現(xiàn)
2016-10-27 18:07:5410

華清遠(yuǎn)見(jiàn)FPGA代碼-整數(shù)DCT變換的設(shè)計(jì)與實(shí)現(xiàn)

華清遠(yuǎn)見(jiàn)FPGA代碼-整數(shù)DCT變換的設(shè)計(jì)與實(shí)現(xiàn)
2016-10-27 18:07:548

華清遠(yuǎn)見(jiàn)FPGA代碼-字符LCD接口的設(shè)計(jì)與實(shí)現(xiàn)

華清遠(yuǎn)見(jiàn)FPGA代碼-字符LCD接口的設(shè)計(jì)與實(shí)現(xiàn)
2016-10-27 18:07:5411

卡爾曼濾波簡(jiǎn)介及其實(shí)現(xiàn)(附C代碼)

卡爾曼濾波算法介紹,公式實(shí)現(xiàn),后面附有公式的實(shí)現(xiàn)代碼。封裝函數(shù)。
2016-12-17 17:22:2240

Matlab代碼在PLC控制系統(tǒng)中的實(shí)現(xiàn)方法研究_孫立平

Matlab代碼在PLC控制系統(tǒng)中的實(shí)現(xiàn)方法研究_孫立平
2017-03-19 11:27:341

高階代碼消除性能比較框架的設(shè)計(jì)與實(shí)現(xiàn)

函數(shù)式語(yǔ)言編譯中,閉包變換和函數(shù)消除是廣泛采用的高階代碼消除方法。為了提高函數(shù)式語(yǔ)言的運(yùn)行效率,針對(duì)函數(shù)式語(yǔ)言編譯階段的高階代碼消除過(guò)程對(duì)目標(biāo)代碼效率的影響,設(shè)計(jì)并實(shí)現(xiàn)了一種函數(shù)式語(yǔ)言編譯框架
2017-12-12 15:11:540

4個(gè)重要算法C語(yǔ)言實(shí)現(xiàn)代碼

4個(gè)重要算法C語(yǔ)言實(shí)現(xiàn)代碼
2018-06-10 08:00:0012

STM32開(kāi)發(fā)板資料之例程的實(shí)現(xiàn)和源代碼

本文主要介紹了STM32開(kāi)發(fā)板資料,內(nèi)含大量例程的實(shí)現(xiàn)和源代碼,適合新手學(xué)習(xí)
2018-06-27 08:00:00208

如何實(shí)現(xiàn)計(jì)算機(jī)視覺(jué)的目標(biāo)檢測(cè)10行Python代碼幫你實(shí)現(xiàn)

只需10行Python代碼,我們就能實(shí)現(xiàn)計(jì)算機(jī)視覺(jué)中目標(biāo)檢測(cè)。 沒(méi)錯(cuò),用這寥寥10行代碼,就能實(shí)現(xiàn)目前AI產(chǎn)品中應(yīng)用廣泛的目標(biāo)檢測(cè)技術(shù)。
2019-02-03 11:35:002809

使用51單片機(jī)實(shí)現(xiàn)計(jì)算器的資料和代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)計(jì)算器的資料和代碼免費(fèi)下載。
2019-09-29 17:15:0018

使用單片機(jī)實(shí)現(xiàn)定時(shí)器的程序代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)定時(shí)器的程序代碼免費(fèi)下載。
2019-09-18 17:21:004

使用51單片機(jī)實(shí)現(xiàn)矩陣鍵盤的代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)矩陣鍵盤的代碼免費(fèi)下載。
2019-08-28 17:29:005

使用HTML5實(shí)現(xiàn)井字棋小游戲的算法和代碼講解

本文檔的主要內(nèi)容詳細(xì)介紹的是使用HTML5實(shí)現(xiàn)井字棋小游戲的算法和代碼講解。
2019-08-07 17:33:001

使用單片機(jī)實(shí)現(xiàn)蜂鳴器唱歌的代碼程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)蜂鳴器唱歌的代碼程序免費(fèi)下載。
2019-08-01 17:35:005

使用單片機(jī)實(shí)現(xiàn)電波鐘的代碼免費(fèi)下載使用

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)電波鐘的代碼免費(fèi)下載使用。
2019-07-29 17:36:006

使用單片機(jī)實(shí)現(xiàn)流水燈的兩種代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)流水燈的兩種代碼免費(fèi)下載。
2019-07-23 17:37:002

使用Arduino實(shí)現(xiàn)1602顯示的測(cè)試源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Arduino實(shí)現(xiàn)1602顯示的測(cè)試源代碼免費(fèi)下載。
2019-10-22 18:06:386

使用C語(yǔ)言實(shí)現(xiàn)靜態(tài)網(wǎng)頁(yè)的代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用C語(yǔ)言實(shí)現(xiàn)靜態(tài)網(wǎng)頁(yè)的代碼免費(fèi)下載。
2019-11-22 16:20:152

使用51單片機(jī)實(shí)現(xiàn)電子琴的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)電子琴的源代碼免費(fèi)下載。
2019-11-26 16:09:0059

使用Python實(shí)現(xiàn)微車違章查詢API調(diào)用的代碼實(shí)例免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Python實(shí)現(xiàn)微車違章查詢API調(diào)用的代碼實(shí)例免費(fèi)下載。
2020-01-15 09:59:5312

使用Python實(shí)現(xiàn)游戲APP充值A(chǔ)PI調(diào)用的代碼實(shí)例

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Python實(shí)現(xiàn)游戲APP充值A(chǔ)PI調(diào)用的代碼實(shí)例。
2020-01-15 11:34:1938

如何使用Python實(shí)現(xiàn)購(gòu)物比價(jià)API調(diào)用的代碼實(shí)例

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用Python實(shí)現(xiàn)購(gòu)物比價(jià)API調(diào)用的代碼實(shí)例免費(fèi)下載。
2020-01-17 17:11:2825

使用Python實(shí)現(xiàn)水質(zhì)量接口調(diào)用的代碼實(shí)例免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Python實(shí)現(xiàn)水質(zhì)量接口調(diào)用的代碼實(shí)例免費(fèi)下載
2020-03-10 16:42:4110

python實(shí)現(xiàn)目標(biāo)檢測(cè)的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是python實(shí)現(xiàn)目標(biāo)檢測(cè)的源代碼免費(fèi)下載
2020-04-09 08:00:006

使用單片機(jī)實(shí)現(xiàn)的實(shí)用密碼鎖源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)的LCD1602顯示實(shí)用密碼鎖源代碼免費(fèi)下載。
2020-04-10 08:00:000

STC單片機(jī)實(shí)現(xiàn)外部中斷的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是STC單片機(jī)實(shí)現(xiàn)外部中斷的源代碼免費(fèi)下載。
2020-06-17 17:32:1318

STC單片機(jī)實(shí)現(xiàn)串口通信的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是STC單片機(jī)實(shí)現(xiàn)串口通信的源代碼免費(fèi)下載。
2020-06-17 17:32:1538

實(shí)現(xiàn)單片機(jī)實(shí)現(xiàn)籃球計(jì)分器的設(shè)計(jì)源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹是的是實(shí)現(xiàn)單片機(jī)實(shí)現(xiàn)籃球計(jì)分器的設(shè)計(jì)源代碼免費(fèi)下載
2020-06-18 08:00:0038

使用單片機(jī)實(shí)現(xiàn)電機(jī)控制的代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)電機(jī)控制的代碼免費(fèi)下載
2020-06-18 08:00:0033

使用51單片機(jī)實(shí)現(xiàn)藍(lán)牙小車的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)藍(lán)牙小車的源代碼免費(fèi)下載。
2020-06-24 08:00:0046

使用Java實(shí)現(xiàn)掃雷游戲的代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Java實(shí)現(xiàn)掃雷游戲的代碼免費(fèi)下載。
2020-07-07 08:00:004

使用DSP實(shí)現(xiàn)時(shí)鐘學(xué)習(xí)資料和源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用DSP實(shí)現(xiàn)時(shí)鐘學(xué)習(xí)資料和源代碼免費(fèi)下載
2020-09-29 16:35:3212

使用51單片機(jī)實(shí)現(xiàn)液晶讀寫的源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)液晶讀寫顯示的源代碼免費(fèi)下載。
2020-11-09 08:00:001

51單片機(jī)實(shí)現(xiàn)流水燈的源代碼

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)流水燈的源代碼免費(fèi)下載。
2020-11-13 17:28:4053

使用51單片機(jī)實(shí)現(xiàn)99秒計(jì)時(shí)的3個(gè)源代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用51單片機(jī)實(shí)現(xiàn)99秒計(jì)時(shí)的3個(gè)源代碼免費(fèi)下載。
2020-12-09 08:00:005

使用javascript實(shí)現(xiàn)文字圖片上下滾動(dòng)的代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用javascript實(shí)現(xiàn)文字圖片上下滾動(dòng)的代碼免費(fèi)下載。
2021-02-02 14:36:009

使用Arduino實(shí)現(xiàn)心率脈搏血氧監(jiān)測(cè)設(shè)計(jì)的原理圖和代碼免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Arduino實(shí)現(xiàn)心率脈搏血氧監(jiān)測(cè)設(shè)計(jì)的原理圖和代碼免費(fèi)下載。
2021-02-24 08:00:0020

MATLAB實(shí)現(xiàn)卷積神經(jīng)網(wǎng)絡(luò)CNN的源代碼

MATLAB實(shí)現(xiàn)卷積神經(jīng)網(wǎng)絡(luò)CNN的源代碼
2021-04-21 10:15:3616

使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列包括源代碼和testbench

使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列包括源代碼和testbench(電源技術(shù)論壇app)-使用Matlab和Verilog實(shí)現(xiàn)fibonacci序列,包括源代碼和testbench,適合感興趣的學(xué)習(xí)者學(xué)習(xí),可以提高自己的能力,大家可以多交流哈
2021-09-16 14:41:5313

單片機(jī)實(shí)現(xiàn)PT2262解碼示例代碼

單片機(jī)實(shí)現(xiàn)PT2262解碼示例代碼
2021-11-16 15:21:0143

C語(yǔ)言 | C51實(shí)現(xiàn)紅外收發(fā)設(shè)計(jì)(完整源代碼

C語(yǔ)言 | C51實(shí)現(xiàn)紅外收發(fā)設(shè)計(jì)(完整源代碼
2021-11-25 15:21:0347

Decawave官方雙邊測(cè)距(一對(duì)一)原理介紹及代碼實(shí)現(xiàn)

Decawave官方雙邊測(cè)距(一對(duì)一)原理介紹及代碼實(shí)現(xiàn)
2021-12-04 16:51:057

3行代碼實(shí)現(xiàn)單片機(jī)IIc通信

文章目錄前言一、實(shí)現(xiàn)功能二、接線圖三、完整代碼四、代碼運(yùn)行效果前言shineblink core 開(kāi)發(fā)板(簡(jiǎn)稱Core)的庫(kù)函數(shù)支持IIc通信功能,所以只需要調(diào)用兩三個(gè)API,即可實(shí)現(xiàn)IIc通信功能
2021-12-20 19:19:271

XMODEM設(shè)計(jì)與C代碼實(shí)現(xiàn)(3.測(cè)試篇)

1.測(cè)試方式與代碼??在上一篇文章中,我們通過(guò)C代碼實(shí)現(xiàn)了XMODEM通信協(xié)議庫(kù)設(shè)計(jì),本篇文章我們將編寫一段測(cè)試程序,實(shí)現(xiàn)對(duì)XMODEM庫(kù)進(jìn)行測(cè)試。??測(cè)試過(guò)程采用PC串口方式實(shí)現(xiàn),操作系統(tǒng)
2021-12-20 19:36:4120

3行代碼實(shí)現(xiàn)單片機(jī)Uart功能

文章目錄前言一、實(shí)現(xiàn)功能二、接線圖三、完整代碼前言shineblink core 開(kāi)發(fā)板(簡(jiǎn)稱Core)的庫(kù)函數(shù)支持GPIO讀寫功能,所以只需要調(diào)用兩三個(gè)API,即可實(shí)現(xiàn)GPIO引腳的讀寫操作。PS
2021-12-28 19:27:151

labview實(shí)現(xiàn)Excel寫入標(biāo)題和設(shè)置格式的代碼

如何使用labview實(shí)現(xiàn)Excel寫入標(biāo)題和設(shè)置格式的原代碼程式
2022-06-14 14:58:4729

基于MLX90614的mcu的代碼實(shí)現(xiàn)紅外功能

基于MLX90614的mcu的代碼實(shí)現(xiàn)紅外功能
2022-06-20 15:06:044

SpringBoot如何實(shí)現(xiàn)啟動(dòng)過(guò)程中執(zhí)行代碼

目前開(kāi)發(fā)的SpringBoot項(xiàng)目在啟動(dòng)的時(shí)候需要預(yù)加載一些資源。而如何實(shí)現(xiàn)啟動(dòng)過(guò)程中執(zhí)行代碼,或啟動(dòng)成功后執(zhí)行,是有很多種方式可以選擇,我們可以在static代碼塊中實(shí)現(xiàn),也可以在構(gòu)造方法里實(shí)現(xiàn),也可以使用@PostConstruct注解實(shí)現(xiàn)
2022-06-20 17:32:481096

為Arduino編寫代碼實(shí)現(xiàn)音頻效果

電子發(fā)燒友網(wǎng)站提供《為Arduino編寫代碼實(shí)現(xiàn)音頻效果.zip》資料免費(fèi)下載
2022-10-19 14:45:371

在您的代碼實(shí)現(xiàn)心跳

電子發(fā)燒友網(wǎng)站提供《在您的代碼實(shí)現(xiàn)心跳.zip》資料免費(fèi)下載
2023-02-08 10:37:010

SVPWM代碼實(shí)現(xiàn)

學(xué)習(xí)SVPWM過(guò)后總有一種似乎學(xué)了,又好像沒(méi)學(xué)的感覺(jué),感覺(jué)懂了又好像沒(méi)懂。怎么辦呢,那最好的辦法就是一五一十的擼一遍原理,用代碼實(shí)現(xiàn)一下它。為了方便理解,就用與公式原理完全一一對(duì)應(yīng)的代碼實(shí)現(xiàn)
2023-03-14 10:39:365

代碼生成器配置和軟件UART的實(shí)現(xiàn)

(RL78)上的具體的實(shí)現(xiàn)方法,這里略去工程的建立過(guò)程,相應(yīng)的驅(qū)動(dòng)程序細(xì)節(jié)可以參考代碼生成器生成的代碼,這里只重點(diǎn)講述代碼生成器配置和軟件UART的實(shí)現(xiàn)。
2023-05-09 09:25:02851

基于51單片機(jī)的查表法實(shí)現(xiàn)流水燈例程源代碼

基于51單片機(jī)的查表法實(shí)現(xiàn)流水燈例程源代碼
2023-05-17 15:04:564

Vivado:ROM和RAM的verilog代碼實(shí)現(xiàn)

本文主要介紹ROM和RAM實(shí)現(xiàn)的verilog代碼版本,可以借鑒參考下。
2023-05-16 16:57:42799

MIMO-OFDM無(wú)線通信技術(shù)(Matlab代碼實(shí)現(xiàn)

概述 本代碼為MIMO-OFDM無(wú)線通信技術(shù)及MATLAB實(shí)現(xiàn)。分為十章,
2023-05-30 10:39:3519

基2FFT的verilog代碼實(shí)現(xiàn)及仿真

上文基2FFT的算法推導(dǎo)及python仿真推導(dǎo)了基2FFT的公式,并通過(guò)python做了算法驗(yàn)證,本文使用verilog實(shí)現(xiàn)8點(diǎn)基2FFT的代碼。
2023-06-02 12:38:57630

使用Arduino和Octoblu上的Tentacle實(shí)現(xiàn)無(wú)代碼物聯(lián)網(wǎng)

電子發(fā)燒友網(wǎng)站提供《使用Arduino和Octoblu上的Tentacle實(shí)現(xiàn)無(wú)代碼物聯(lián)網(wǎng).zip》資料免費(fèi)下載
2023-06-19 11:22:280

基于LBM的網(wǎng)格自適應(yīng)劃分代碼實(shí)現(xiàn)

基于LBM的網(wǎng)格自適應(yīng)劃分代碼實(shí)現(xiàn)
2023-08-10 09:14:482

基于C++代碼實(shí)現(xiàn)內(nèi)存泄漏檢測(cè)工具

看到的一個(gè)文章,有人用一個(gè)很簡(jiǎn)短的代碼實(shí)現(xiàn)了內(nèi)存檢測(cè)工具,大家看看實(shí)用性如何?
2023-08-21 10:11:05239

閃爍噪聲代碼實(shí)現(xiàn)方法

閃爍噪聲代碼實(shí)現(xiàn)方法 閃爍噪聲是指由不規(guī)則交替的明暗點(diǎn)所組成的噪聲,在各種應(yīng)用中都有著非常廣泛的應(yīng)用。閃爍噪聲可以用于視頻的特效處理、圖像的模糊處理等領(lǐng)域中。本文將介紹閃爍噪聲的生成原理、代碼實(shí)現(xiàn)
2023-09-19 16:39:01388

STM32F09x不使用BOOT腳實(shí)現(xiàn)System Bootloader升級(jí)代碼

電子發(fā)燒友網(wǎng)站提供《STM32F09x不使用BOOT腳實(shí)現(xiàn)System Bootloader升級(jí)代碼.pdf》資料免費(fèi)下載
2023-09-25 15:08:411

JK觸發(fā)器與T觸發(fā)器的Verilog代碼實(shí)現(xiàn)和RTL電路實(shí)現(xiàn)

JK 觸發(fā)器的 Verilog 代碼實(shí)現(xiàn)和 RTL 電路實(shí)現(xiàn)
2023-10-09 17:29:342002

樹(shù)莓派系列之MQTT低代碼實(shí)現(xiàn)

代碼開(kāi)發(fā)平臺(tái)(LCDP)是無(wú)需編碼(0代碼)或通過(guò)少量代碼就可以快速生成應(yīng)用程序的開(kāi)發(fā)平臺(tái)。
2023-10-17 10:27:39163

安全驅(qū)動(dòng)示例代碼實(shí)現(xiàn)

示例代碼獲取和集成 本示例中的驅(qū)動(dòng)只實(shí)現(xiàn)了對(duì)內(nèi)存的讀寫操作,并提供了測(cè)試使用的TA和CA。 讀者可使用如下指令從GitHub上獲取到示例源代碼: git clone https
2023-10-30 16:07:30337

g代碼和m代碼表示什么功能

加工的動(dòng)作指令。在程序中,多條G代碼指令按順序組成一個(gè)工藝過(guò)程,以實(shí)現(xiàn)工件的形狀加工。G代碼可分為基本功能代碼和輔助功能代碼。 基本功能代碼主要包括: G00 - 高速定位功能,用于快速移動(dòng)機(jī)床到指定位置。 G01 - 直線插補(bǔ)功能,
2024-02-14 15:49:00630

已全部加載完成