電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式設(shè)計應用>用MAX+PLUSⅡ開發(fā)Altera CPLD

用MAX+PLUSⅡ開發(fā)Altera CPLD

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

ALTERA FPGA/CPLD高配學習指南:入門和高級篇,教程十講全集

Quartus II與ModelSim、Synplify Pro等常用EDA工具的開發(fā)流程。ALTERA CPLD FPGA設(shè)計高級篇:結(jié)合作者多年工作經(jīng)驗,深入地討論了altera fpga
2020-05-14 14:50:30

ALTERA器件選型手冊

ALTERA器件選型手冊目錄 1、 MAX7000系列器件 ………………………………………………………………………………………….1 2、 MAX3000A系列器件
2012-08-10 18:24:17

Altera FPGA/CPLD經(jīng)典教材

Altera FPGA/CPLD設(shè)計與Verilog數(shù)字系統(tǒng)設(shè)計教程從網(wǎng)上找到了一些Altera FPGA/CPLD經(jīng)典教材,包含夏宇聞老師的Verilog數(shù)字系統(tǒng)設(shè)計教程(第2版)Altera FPGA/CPLD設(shè)計與Verilog數(shù)字系統(tǒng)設(shè)計教程
2014-02-17 09:22:18

Altera FPGA/CPLD設(shè)計(高級篇)》

Altera FPGA/CPLD設(shè)計(高級篇)》
2012-12-06 15:51:36

Altera FPGA_CPLD設(shè)計 基礎(chǔ)篇

Altera FPGA_CPLD設(shè)計 基礎(chǔ)篇》是Altera官方指定培訓中文教材,說的較為詳細,值得一看。
2013-02-25 17:42:17

Altera FPGA_CPLD設(shè)計 高級篇

Altera FPGA_CPLD設(shè)計 高級篇
2023-08-05 18:38:20

Altera-FPGA/CPLD設(shè)計(基礎(chǔ)篇和高級篇)

可編程邏輯器件相關(guān)專輯 Altera-FPGA/CPLD設(shè)計,從基礎(chǔ)篇到高級篇由淺入深幫助大家學習
2018-10-08 15:43:55

Altera_FPGA_CPLD設(shè)計_基礎(chǔ)篇&高級篇

`` 本帖最后由 yuxuandl 于 2013-5-3 22:10 編輯 Altera FPGA CPLD設(shè)計_基礎(chǔ)篇&高級篇兩本書,講解比較精細,適合初學和進階人士學習參考。ttach]``
2013-05-03 22:05:30

CPLD/FPGA有哪些設(shè)計工具?

、MAX7000 系列、Mercury、FELX10KE、APEX20KE、APEX20KC、ACEX1K、APEX II和 Stratix 等。 其開發(fā)工具 MAX+PLUS II 是較成功
2019-03-04 14:10:13

MAX+Plus II應用介紹及系統(tǒng)特點

Max+plusⅡ是Altera公司提供的FPGA/CPLD開發(fā)集成環(huán)境,Altera是世界上最大可編程邏輯器件的供應商之一。Max+plusⅡ界面友好,使用便捷,被譽為業(yè)界最易用易學的EDA軟件
2009-10-09 17:14:29

MAX+Plus ii 軟件

我最近在用MAX II系列下的芯片EPM240T100C5N,由于是新手就選擇了MAX+Plus ii 這個軟件,請問大家一下,這個軟件支持選擇MAX II系列的芯片嗎?或者如何升級器件選擇列表
2013-11-19 21:33:04

MAX 10 FPGA的特性

核心部件?!?b class="flag-6" style="color: red">Altera這款新FPGA以本來為CPLD系列“頭銜”的“MAX”來命名,也是想突出其延續(xù)了Altera可編程產(chǎn)品物美價廉的傳統(tǒng),而低成本的MAX 10所擁有的一些性能通常只有高端FPGA才具備。
2019-07-01 08:14:44

MAX PLUS II BASELINE許可有什么問題? 每次我嘗試我都會拒絕錯誤訪問

想用它來***好用途。問候,沚以上來自于谷歌翻譯以下為原文I'm unable to generate an license key for the MAX+PLUS II Baseline
2018-10-24 15:17:11

MAX PLUS II軟件的學習

MAX PLUS II軟件的學習1    初步了解大規(guī)??删幊唐骷‵PGA)設(shè)計的全  &nbsp
2009-10-24 19:17:08

Max+plusⅡ功能介紹及仿真

;5、自動錯誤定位在編譯源文件的過程中,若源文件有錯誤,Max+Plus2軟件可以自動指出錯誤類型和錯誤所在的位置。6、邏輯綜合與適配該軟件在編譯過程中,通過邏輯綜合 (Logic
2009-10-09 17:21:44

Max+plusⅡ設(shè)計步驟

;Max+plusⅡ/Text Editor;Max+plusⅡ/Waveform Editor)3)指定CPLD型號(Assign/Device)4)設(shè)置管腳、下載方式和邏輯綜合的方式(Assign
2009-10-09 17:28:51

max+plus問題

max+plus問題:max+plus是不是不支持vhdl的否則,為設(shè)么每次把vhdl轉(zhuǎn)換成圖形文件時總會提示library ieee; 哪行錯誤
2012-08-18 14:19:23

FPGA與CPLD怎么區(qū)分

、AlteraMAX7000S系列和Lattice(原Vantis)的Mach系列等。將以查表法結(jié)構(gòu)方式構(gòu)成邏輯行為的器件稱為FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K
2019-07-01 07:36:55

Quartus II軟件和Quartus II網(wǎng)絡(luò)版的區(qū)別

EPF10K200S, FLEX 6000, MAX? II, MAX 3000A, MAX 7000B, MAX 7000S, MAX 7000AE MAX+PLUS? II 查看和體驗 是 是 RTL查看器和工藝
2012-08-15 12:30:03

USB -CPLD開發(fā)板使用攻略

USB -CPLD開發(fā)板使用攻略一、開發(fā)板簡介 簡介:板載大容量 ALTERA MAXII 系列CPLD 芯片EPM1270,和 USB2.0 高速 CY7C68013A芯片,構(gòu)成完美的邏輯和數(shù)
2012-08-15 14:44:47

xlinx的cpld下載線支持alteracpld

`現(xiàn)在我有一個atmel的cpld芯片,用了個如下圖所示的下載線一直不能發(fā)現(xiàn)設(shè)備,如圖.不知道哪位大哥告訴一下該怎么玩,另買一個altera的byteblaster嗎我只有一個積分。。`
2015-12-18 21:07:50

《FPGA 和 CPLD入門教程》

和相應的開發(fā)軟件為目標載體進行闡述,本教程闡述了ALTERA主要系列芯片PLD芯片的結(jié)構(gòu)和特點以及相應的開發(fā)軟件MAX和Plusa和Quartus的使用?!?希望對各位有用。
2014-05-11 20:44:00

CPLDMAX V 5M160ZE64燒錄問題

各位大俠,小弟CPLD/FPGA新手,遇到燒錄不保存問題,詳細描述如下:芯片型號:Altera MAX V 5M160ZE64Quartus版本:Quartus II 14.1問題描述:1
2015-07-21 10:40:23

【下載】《從零開始學CPLD和Verilog HDL編程技術(shù)》

學會CPLD的系統(tǒng)設(shè)計技術(shù)。本書以ALTERA公司的系列芯片為目標載體,簡要分析了可編程邏輯器件的結(jié)構(gòu)和特點,以及相應開發(fā)軟件的使用方法,同時,還用大量篇幅介紹了初學者最容易掌握的Verilog
2018-03-30 15:07:50

為什么Altera_Cpld做一個186控制sdram的控制接口必須把clk送給sdram?

Altera_Cpld作了一個186(主CPU)控制sdram的控制接口, 發(fā)現(xiàn)問題:要使得sdram讀寫正確, 必須把186(主CPU)的clk送給sdram, 而不能把clk經(jīng)cpld的延時
2019-09-18 05:02:19

供應ALTERA,XILINX(FPGA,CPLD

本公司供應ALTERA、XILINX(FPGA、CPLD)芯片,有需求可聯(lián)系深圳市恒兆贏科技有限公司/銷售部:楊綠風phone: 0755-83266848Fax: 0755-83998200QQ
2011-03-02 14:11:22

基于MAX+plus開發(fā)平臺的EDA設(shè)計方法

 MAX+ plus Ⅱ簡介  MAX + plus Ⅱ是一種與結(jié)構(gòu)無關(guān)的全集成化設(shè)計環(huán)境,使設(shè)計者能對Altera 的各種CPLD 系列方便地進行設(shè)計輸入、快速處理和器件編程
2008-06-24 13:48:14

基于MAX+plus開發(fā)平臺的EDA設(shè)計方法

   MAX + plus Ⅱ是一種與結(jié)構(gòu)無關(guān)的全集成化設(shè)計環(huán)境,使設(shè)計者能對Altera 的各種CPLD 系列方便地進行設(shè)計輸入、快速處理和器件編程。MAX+ plus開發(fā)系統(tǒng)具有強大的處理
2008-06-16 08:47:47

基于單片機和CPLD的數(shù)字頻率計的設(shè)計

的專用集成電路(ASIC)的設(shè)計。2 Max+Plus開發(fā)工具Max+Plus開發(fā)工具是美國Altera公司自行設(shè)計的一種CAE軟件工具。它具有全面的邏輯設(shè)計能力,設(shè)計者可以自由組合文本、圖形和波形輸入法
2008-10-15 09:00:22

如何使用VHDL硬件描述語言實現(xiàn)的十六路彩燈控制系統(tǒng)?

本文介紹應用美國ALTERA公司的MAX+PLUSⅡ平臺,使用VHDL硬件描述語言實現(xiàn)的十六路彩燈控制系統(tǒng)。
2021-04-19 07:43:57

如何實現(xiàn)嵌入式系統(tǒng)與CAN總線網(wǎng)絡(luò)的通信?

如何利用CPLD去實現(xiàn)接口電路?怎樣去設(shè)計MAX+PLUSⅡ程序?
2021-04-28 06:45:34

如何采用AlteraCPLD器件實現(xiàn)時間統(tǒng)一系統(tǒng)的B碼源設(shè)計?

如何采用AlteraCPLD器件實現(xiàn)時間統(tǒng)一系統(tǒng)的B碼源設(shè)計?
2021-05-07 06:21:24

學好VHDL的重要性-夏路易

,其中包含VHDL語言、CPLD介紹、AHDL語言和Max+plusⅡ軟件四部分,教材中有大量的有關(guān)VHDL、AHDL語言使用的例題,特別是一步一步學習軟件、使用VHDL、AHDL語言的例題可以幫助
2009-10-22 15:44:59

altera cpld epm2210的PROTEL 99SE的原理圖及PCB圖

altera cpld epm2210的PROTEL 99SE的原理圖及PCB圖
2015-05-03 08:54:51

求推薦altera CPLD芯片

現(xiàn)在alteraCPLD芯片的最多是哪幾款啊
2013-10-16 18:51:55

求推薦一款CPLD芯片

推薦一款CPLD芯片大概二三十個引腳四十到六十個邏輯宏單元,最好可以在max plus 2下仿真。
2012-11-23 10:15:51

現(xiàn)在XILINX、ALTERA的哪種cpld功耗最低?

我現(xiàn)在想用gps的秒脈沖做同步鐘,控制A/D數(shù)據(jù)同步采集,哪個系列的cpld功耗最低?以前用過XILINX的95144和ALTERA MAXII系列的epm570,聽說現(xiàn)在都出MAXV了,是不是5M570Z功耗更低?
2019-09-03 14:26:17

請問怎樣去設(shè)計電子密碼鎖?

為什么要設(shè)計電子密碼鎖?基于Max+Plus II和VHDL的電子密碼鎖如何去設(shè)計?
2021-04-28 06:12:38

請問有人用過這塊Stratix II官方開發(fā)板嗎,Altera官網(wǎng)上怎么也找不到這塊開發(fā)板對應的資料

` 本帖最后由 sheniquhong 于 2017-7-6 15:41 編輯 開發(fā)板正面圖Altera Strati***板上竟然還有MAX系列的CPLD芯片NIOS II 6.0的flash rom背面圖2006年官方開發(fā)板`
2017-07-06 15:41:46

誰有max+plus的軟件的 急急?。。。。?!謝謝

誰有max+plus的軟件的急急!?。。。。≈x謝
2012-03-09 23:09:19

AGM CPLD AG576SL144 PIN to PIN Altera EPM570T144

我們是AGM的長期授權(quán)代理商,可以為用戶提供最具競爭力的價格與技術(shù)支持服務(wù)。 PIN to PIN  Altera-CPLD: AG576SL144--->
2021-11-23 10:12:42

Max+Plus II簡易用戶使用入門指南

Max+Plus II簡易用戶使用入門指南 nMAX+PLUS II 為實現(xiàn)不同的邏輯功能提供了許多符號,如:圖元符
2008-09-11 15:09:053

Maxplus2使用技巧—與第三方EDA軟件的接口

Third Party EDA Tools Interface with Altera Max+Plus II What Altera Support􀂄Altera
2008-09-12 09:57:110

Altera FPGA/CPLD設(shè)計(高級篇)

Altera FPGA/CPLD設(shè)計(高級篇)》結(jié)合作者多年工作經(jīng)驗,深入地討論了Altera FPGA/CPLD的設(shè)計、優(yōu)化技巧。在討論FPGA/CPLD設(shè)計指導原則的基礎(chǔ)上,介紹了Altera器件的高級應用;引領(lǐng)讀者
2009-02-12 09:19:124799

altera fpga/cpld設(shè)計

altera fpga/cpld設(shè)計 基礎(chǔ)篇結(jié)合作者多年工作經(jīng)驗,系統(tǒng)地介紹了FPGA/CPLD的基本設(shè)計方法。在介紹FPGA/CPLD概念的基礎(chǔ)上,介紹了Altera主流FPGA/CPLD的結(jié)構(gòu)與特點,并通過豐富的實例講解
2009-07-10 17:35:4557

FPGA與VHDL快速工程實踐從入門到提高

FPGA/VHDL技術(shù)是近年來計算機與電子技術(shù)領(lǐng)域的又一場革命。本書以AAltera公司的FPGA/CPLD為主詳細介紹了FPGA、CPLD為主詳細介紹了FPGA的相關(guān)知識,MAX+PLUS開發(fā)環(huán)境和VHDL語言基礎(chǔ),并
2009-07-11 15:06:4258

基于CPLD的彩燈控制器

本文以MAX+PLUSⅡ軟件為開發(fā)平臺,利用CPLD器件設(shè)計了一種新型彩燈控制器。該控制器具有控制路數(shù)多、功能可擴展、圖案可控制等特點。近年來,出現(xiàn)了一系列生命力強、應用廣
2009-08-17 10:50:4133

EPM1270F256C4N,ALTERA/阿爾特拉,即時開啟非易失性CPLD,處理器

EPM1270F256C4N,ALTERA/阿爾特拉,介紹MAX?II系列即時開啟非易失性CPLD基于0.18-μ,6層金屬閃存工藝,密度從240到2210個邏輯元件(LE)(128至22
2023-10-24 15:38:16

基于CPLD的電梯控制器的設(shè)計

探討電梯控制技術(shù)的發(fā)展歷史和技術(shù)現(xiàn)狀,仔細研究CPLD器件的工作原理,開發(fā)流程以及VHDL語言的編程方法;采用單片CPLD器件,在MAX+plusⅡ軟件環(huán)境下,運用VHDL語言設(shè)計一個16樓層單
2010-12-27 15:27:3556

用PLD實現(xiàn)相位精確測量的研究

摘??? 要:本文介紹了一個采用PLD,利用MAX+PLUSⅡ和 EWB等開發(fā)工具,完成了功率因數(shù)精確測量的設(shè)計。其核心芯片是Altera公司ACEX 1K系列的EP1K10TC144-3。引言在電力
2006-03-11 12:55:523437

CPLD在DSP系統(tǒng)中的應用設(shè)計

摘要:以Altera公司MAX700舊系列為代表,介紹了CPLD在DSP系統(tǒng)中的應用實例。該方案具有一定的普遍適用性DSP的速度較快,要求譯碼的速度也必
2006-03-11 17:39:491464

基于CPLD/FPGA的半整數(shù)分頻器的設(shè)計

摘要:簡要介紹了CPLD/FPGA器件的特點和應用范圍,并以分頻比為2.5的半整數(shù)分頻器的設(shè)計為例,介紹了在MAX+plus II開發(fā)軟件下,利用VHDL硬件描述語言以及原理圖的輸
2006-03-13 19:36:44869

Altera MAX+plus II 介紹

Altera MAX+plus II 介紹 一、軟件功能簡介MAX+plusⅡ(Multiple Array and Programming Logic User System)開發(fā)工具是美國Altera 公司推出的一種EDA
2008-09-24 10:15:366852

MAX+PLUSⅡ的基本應用

實驗一、MAX+PLUSⅡ的基本應用一  實驗目的1掌握MAX+PLUSⅡ的安裝及基本使用。2掌握MAX+PLUSⅡ基本輸入法—圖形輸入工具按鈕的使用。二  實驗設(shè)備
2009-03-13 19:15:013816

MAX+PLUSⅡ的基本應用-波形輸入練習

MAX+PLUSⅡ的基本應用-波形輸入練習一  實驗目的1掌握MAX+PLUSⅡ的基本使用。2掌握MAX+PLUSⅡ基本輸入法—波形輸入法的使用。二  實驗設(shè)備與儀器
2009-03-13 19:16:052215

MAX+PLUSⅡ的設(shè)計處理

實驗三  MAX+PLUSⅡ的設(shè)計處理 一  實驗目的1掌握MAX+PLUSⅡ的基本使用。2掌握MAX+PLUSⅡ的設(shè)計處理過程中的編譯和仿真。3 掌
2009-03-13 19:19:021020

MAX+PLUSⅡ的層次設(shè)計

實驗四、MAX+PLUSⅡ的層次設(shè)計一  實驗目的1進一步掌握MAX+PLUSⅡ的基本使用,包括設(shè)計的輸入、編譯和仿真。2掌握MAX+PLUSⅡ的層次化設(shè)計方法。二 
2009-03-13 19:20:481500

MAX+PLUSⅡ的參數(shù)化兆功能模塊庫的使用

實驗五、MAX+PLUSⅡ的參數(shù)化兆功能模塊庫的使用一  實驗目的1進一步掌握MAX+PLUSⅡ參數(shù)化兆功能模塊庫的使用。2了解參數(shù)化兆功能模塊庫LP
2009-03-13 19:23:181446

ALTERA CPLD器件的配置與下載

一、 配置方式   ALTERA CPLD器件的配置方式主要分為兩大類:主動配置方式和被動方式。主動配置方式由CPLD器件引導配置操作過程,它控制著外部存儲器和
2009-06-20 10:58:141928

利用MATLAB增強MAX+PLUS II的仿真功能

?摘 要: 介紹了一種利用工具軟件MATLAB強大的數(shù)學功能來增強ALTERA公司的可編程邏輯器件設(shè)計軟件MAX+PLUSII的仿真功能、提高設(shè)計品質(zhì)的方法,有較強的針對性。 ???
2009-06-20 11:45:15843

基于CPLD/FPGA的半整數(shù)分頻器的設(shè)計

摘要:簡要介紹了CPLD/FPGA器件的特點和應用范圍,并以分頻比為2.5的半整數(shù)分頻器的設(shè)計為例,介紹了在MAX+plus II開發(fā)軟件下,利用VHDL硬件描述語言以及原理圖的輸
2009-06-20 12:45:00627

CPLD在嵌入式系統(tǒng)與CAN總線網(wǎng)絡(luò)通信中的應用

摘 要:本文介紹了一種利用可編程器件CPLD實現(xiàn)CAN總線與微機之間接口的設(shè)計,說明了設(shè)計思想和設(shè)計方案,并給出了使用MAX+PLUS Ⅱ軟件圖形輸入法的邏輯設(shè)計和
2009-06-20 14:56:08571

Altera增強MAX II系列,進一步拓展其CPLD應用

Altera增強MAX II系列,進一步拓展其CPLD應用 Altera公司宣布,提供工業(yè)級溫度范圍以及功耗更低的MAX IIZ器件,從而進一步增強了MAX II CPLD系列。MAX IIZ CPLD完美的結(jié)合了邏輯
2009-11-05 09:53:581283

MAX+PLUSⅡ符號庫特殊圖元如何使用

MAX+PLUSⅡ符號庫提供了很多基本圖元(Primitive, 又稱原語)供圖形設(shè)計文件調(diào)用, 這些圖元大體上可分為緩沖器、 寄存器、 輸入輸出端口、 邏輯門圖元等幾類。其中寄存器和組合
2010-06-07 10:26:593942

Altera器件時間匹配問題的解決方案

1 引言 AlteraMAX+PLUSⅡ可編程邏輯開發(fā)軟件,提供了一種與工作平臺、器件結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,深受廣大電子設(shè)計人員的喜愛。但設(shè)計人員都會遇到Altera器件設(shè)計中的時間匹配
2010-07-05 10:31:051092

基于MAX+PLUSⅡ的十進制計數(shù)器的設(shè)計

  O 引言   MAX+PLUS開發(fā)系統(tǒng)是易學易用的完全集成化的設(shè)計開發(fā)環(huán)境。目前已發(fā)行10.0版本。該軟
2010-12-23 11:33:453252

基于Max+PlusⅡ的PCM30/32路系統(tǒng)仿真

本文利用Max+ Plus 軟件對PCM30/ 32 路系統(tǒng)的發(fā)送端時序與幀結(jié)構(gòu)進行了仿真,由仿真結(jié)果可以清楚地發(fā)現(xiàn)該系統(tǒng)發(fā)端時序的規(guī)律
2011-03-28 10:55:272507

Altera器件在開關(guān)控制中的應用

本文介紹了Altera器件和MAX+PLUS II的開發(fā)流程,然后將變電所進行抽象,得到了設(shè)計對象。將系統(tǒng)定義為命令輸入、外部條件輸入、開關(guān)控制邏輯電路、開關(guān)控制時序電路等幾個模塊
2011-04-15 15:47:1341

CPLD的串口通信設(shè)計

本文選用CPLDALTERA 公司的EPM240T100,結(jié)合MAX232 接口芯片進行串口通信設(shè)計
2011-04-25 11:44:2812054

關(guān)于Altera CPLD的奇怪問題

什么是.scf?答:SCF文件是MAXPLUSII的仿真文件, 可以在MP2中新建. 用Altera_Cpld作了一個186(主CPU)控制sdram的控制接口, 發(fā)現(xiàn)問題:要使得sdram讀寫正確, 必須把186(主CPU)的clk送給sdram, 而不能
2011-05-25 17:35:2236

Altera產(chǎn)品目錄

Altera提供全面的定制邏輯器件系列產(chǎn)品FPGA、ASIC和CPLD。 學會以下術(shù)語有助于你加速實現(xiàn)Altera器件的開發(fā)。 自適應邏輯模塊(ALM) 協(xié)議實現(xiàn)配置(CvP) 嵌入式HardCopy模塊......
2012-06-04 14:02:4320

Altera FPGA的選型及開發(fā)

本資料是關(guān)于Altera FPGA的選型及開發(fā),內(nèi)容大綱是:Altera的 FPGA體系結(jié)構(gòu)簡介;Altera的 FPGA選型策略;嵌入式邏輯分析工具SignalTAPII的使用;基于CPLD的FPGA配制方法。
2012-08-15 14:48:34103

MAX+PLUS II軟件下載入口

MAX+PLUS II軟件下載入口
2012-09-14 14:27:47447

altera FPGA/CPLD高級篇(VHDL源代碼)

altera FPGA/CPLD高級篇(VHDL源代碼)
2012-11-13 14:40:38134

Altera FPGA_CPLD設(shè)計(實例源代碼)

Altera FPGA_CPLD設(shè)計(實例源代碼)
2013-09-09 16:09:23442

第三方EDA工具接口

Third Party EDA Tools Interface with Altera Max+Plus II
2022-08-01 11:11:455

第二章MAX+Plus II應用簡介

Max+plusⅡ是Altera公司提供的FPGA/CPLD開發(fā)集成環(huán)境,Altera是世界上最大可編程邏輯器件的供應商之一。Max+plusⅡ界面友好,使用便捷,被譽為業(yè)界最易用易學的EDA軟件
2022-07-13 10:09:010

可編程邏輯器件基礎(chǔ)知識

幫助讀者學會設(shè)計數(shù)字系統(tǒng)的硬件描述語言VHDL、并熟悉Altera公司產(chǎn)品和軟件Max+PlusⅡ。
2022-07-10 14:34:020

第3章 MAXPLUS軟件的使用(第4節(jié)1)

在第一章我們詳細介紹了VHDL語言,世界各大半導體公司開發(fā)的設(shè)計軟件都支持該語言,MAX+PLUS II軟件也支持該語言,下面介紹VHDL語言是如何在MAX+PLUS II軟件中使用的。
2022-08-01 14:30:450

MAX+Plus II應用簡介

Max+plusⅡ功能簡介 1 、原理圖輸入(Graphic Editor) MAX+PLUSII軟件具有圖形輸入能力,用戶可以方便的使用圖形編輯器輸入電路圖,圖中的元器件可以調(diào)用元件庫中元
2017-12-05 10:27:335

MAXPLUS軟件的使用(五)

VHDL語言 在第一章我們詳細介紹了VHDL語言,世界各大半導體公司開發(fā)的設(shè)計軟件都支持該語言,MAX+PLUS II軟件也支持該語言,下面介紹VHDL語言是如何在MAX+PLUS II軟件中使
2017-12-05 10:37:5411

詳細講解基于FSM的電梯控制系統(tǒng)的設(shè)計與實現(xiàn)

Max+PlusⅡ是Altera公司提供的FPGA/CPLD開發(fā)集成環(huán)境,它可獨立完成簡單VHDL程序的編譯。然而,自動電梯控制程序是一個復雜的狀態(tài)機描述,Max+PlusⅡ無法獨立完成該程序的綜合編譯。
2018-05-27 11:38:004195

基于QuartusⅡ開發(fā)環(huán)境與VHDL語言的16路可調(diào)彩燈控制器的設(shè)計

QuartusⅡ是Altera公司在21世紀初推出的FPGA/CPLD集成開發(fā)環(huán)境,是Altera公司前一代FPGA/CPLD集成開發(fā)環(huán)境Max+PlusⅡ的更新?lián)Q代產(chǎn)品,其界面友好,使用便捷,功能強大,為設(shè)計者提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,使設(shè)計者能方便的進行設(shè)計輸入、快速處理和器件編程。
2019-05-03 07:35:006242

如何使用FPGA CPLD 和VHDL語言設(shè)計一個交通燈控制系統(tǒng)

用VHDL語言設(shè)計交通燈控制系統(tǒng),并在MAX+PLUS II系統(tǒng)對FPGA/CPLD芯片進行下載,由于生成的是集成化的數(shù)字電路,沒有傳統(tǒng)設(shè)計中的接線問題,所以故障率低、可靠性高,而且體積小。體現(xiàn)了EDA技術(shù)在數(shù)字電路設(shè)計中的優(yōu)越性。
2018-11-05 17:36:0523

FPGA教程之ALTERACPLD與FPGA器件的詳細資料說明

本文檔的主要內(nèi)容詳細介紹的是FPGA教程之ALTERACPLD與FPGA器件的詳細資料說明主要內(nèi)容包括了:一、Altera器件一般介紹,二、MAX 7000 系列器件,三、FLEX10K系列器件,四、邊界掃描測試
2019-02-27 17:27:3115

基于QuartusⅡ和控制器實現(xiàn)總線通訊板的設(shè)計

QuartusⅡ是Altera公司在21世紀初推出的FP-GA/CPLD開發(fā)環(huán)境,是Altera前一代FPGA/CPLD集成開發(fā)環(huán)境MAx+PlusⅡ的更新?lián)Q代產(chǎn)品,其功能強大,界面友好,使用便捷
2021-06-08 15:43:082972

Altera FPGA CPLD學習筆記

Altera FPGA CPLD學習筆記(肇慶理士電源技術(shù)有限)-Altera FPGA CPLD學習筆記? ? ? ? ? ? ? ? ?
2021-09-18 10:54:4179

CPLDMAX系列器件庫

CPLDMAX系列器件庫max-13.0.1.232
2022-12-21 17:26:114

已全部加載完成