電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式設(shè)計(jì)應(yīng)用>CPLD實(shí)現(xiàn)DDS正弦信號(hào)發(fā)生器設(shè)計(jì)

CPLD實(shí)現(xiàn)DDS正弦信號(hào)發(fā)生器設(shè)計(jì)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

一種新的實(shí)現(xiàn)DDS的AVR信號(hào)發(fā)生器(原理圖和PCB圖)

這是一個(gè)AVR DDS信號(hào)發(fā)生器V2.0新的實(shí)施 很明顯,對(duì)于原原理圖和固件完全歸功于它的原創(chuàng)者
2011-06-27 18:26:085327

新型DDS器件產(chǎn)生正弦信號(hào)和各種調(diào)制信號(hào)的設(shè)計(jì)

設(shè)計(jì)了一種采用新型DDS器件產(chǎn)生正弦信號(hào)和各種調(diào)制信號(hào)的設(shè)計(jì)方法。采用該方法設(shè)計(jì)的正弦信號(hào)發(fā)生器具有系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,界面友好等特點(diǎn)。
2012-01-10 11:34:576540

基于DDS原理設(shè)計(jì)信號(hào)發(fā)生器的方案

信號(hào)發(fā)生器又稱(chēng)信號(hào)源或振蕩器,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有著廣泛的應(yīng)用。能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱(chēng)為函數(shù)信號(hào)發(fā)生器。函數(shù)信號(hào)發(fā)生器實(shí)現(xiàn)方法通常是采用分立元件
2020-10-19 11:50:175295

DDS信號(hào)發(fā)生器

現(xiàn)在用到DDS芯片做個(gè)信號(hào)發(fā)生器,可是不知道用DDS產(chǎn)生的波形的幅度是怎么得到的,要是想改變波形的幅度該怎么做?求解答。
2014-04-15 23:06:36

DDS信號(hào)發(fā)生器模塊制作資料描述

單片機(jī)設(shè)計(jì)DDS信號(hào)發(fā)生器模塊制作資料描述
2015-07-10 15:13:13

DDS信號(hào)發(fā)生器資料集匯

`本專(zhuān)題匯集了四十種DDS信號(hào)發(fā)生器各部分資料,包括信號(hào)發(fā)生器原理,DDS芯片及應(yīng)用,信號(hào)發(fā)生器電路圖及DDS信號(hào)發(fā)生器設(shè)計(jì),為你免除大量自行搜索的時(shí)間,讓你深入了解DDS信號(hào)發(fā)生器。更多精彩資料:http://www.ttokpm.com/zhuanti/dds.html`
2015-06-23 14:02:38

DDS正弦信號(hào)發(fā)生器

,相位調(diào)制器用于信號(hào)的相位調(diào)制,設(shè)計(jì)波形發(fā)生器時(shí)可以不用。由于沒(méi)有示波器,所以DAC部分也不設(shè)計(jì),采用SignalTap II 觀(guān)察。(示波器?YY下吧?。?b class="flag-6" style="color: red">DDS的基本原理框圖如下;ROM中的數(shù)據(jù)量由
2012-02-23 16:14:47

DDS直接數(shù)字頻率合成器、信號(hào)發(fā)生器、函數(shù)發(fā)生器

DDS直接數(shù)字頻率合成器、信號(hào)發(fā)生器、函數(shù)發(fā)生器1.DDS直接數(shù)字頻率合成器、信號(hào)發(fā)生器、函數(shù)發(fā)生器他們之間有哪些異同?2.目前只發(fā)現(xiàn)ADI有相關(guān)的產(chǎn)品,國(guó)產(chǎn)有哪些品牌可以推薦3.如果要輸出的頻率和功率是實(shí)時(shí)可調(diào)的,用MCU控制DDS芯片是否可以實(shí)現(xiàn)?
2022-03-24 18:10:02

Arlyb-DDS信號(hào)發(fā)生器

Arlyb-DDS信號(hào)發(fā)生器 By Arlyb StudioDDS是直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的英文縮寫(xiě)。與傳統(tǒng)的頻率合成器相比,DDS具有低成本
2013-07-07 19:07:37

FPGA學(xué)習(xí)案例——基于FPGA的DDS信號(hào)發(fā)生器設(shè)計(jì)教程

` 本帖最后由 明德?lián)P吳老師 于 2020-6-15 11:27 編輯 基于FPGA的DDS信號(hào)發(fā)生器設(shè)計(jì)信號(hào)發(fā)生器是一種能提供各種頻率、輸出電平的電信號(hào)的設(shè)備,又稱(chēng)信號(hào)源或振蕩。其在各種電信
2020-06-15 11:25:38

Protues 做DDS信號(hào)發(fā)生器

我在用Protues 做DDS信號(hào)發(fā)生器,同步寄存應(yīng)該用什么器件???還有一個(gè)32位的加法器,應(yīng)該怎么畫(huà)?該用什么芯片呢?
2012-05-03 16:59:38

【Z-turn Board試用體驗(yàn)】+基于FPGA和DDS技術(shù)的三相正弦波的發(fā)生器設(shè)計(jì)

芯片的可編程性和實(shí)現(xiàn)方案易改動(dòng)的特點(diǎn),提出了一種基于FPGA和DDS技術(shù)的任意波形發(fā)生器設(shè)計(jì)方案。目前任意波形發(fā)生器的設(shè)計(jì)還在進(jìn)行中。本文只給出實(shí)驗(yàn)階段的三相正弦波的產(chǎn)生代碼和仿真波形,產(chǎn)生的并不是任意波形了。DDS設(shè)計(jì)要求:頻率分辨率
2015-05-30 10:50:36

函數(shù)信號(hào)發(fā)生器是否與任意波形信號(hào)發(fā)生器相同

采用模擬的方法,只能產(chǎn)生正弦波、三角波、方波等幾種有限的波形,且受模擬電路溫度漂移、老化等特性影響,輸出信號(hào)的頻率精度差,不穩(wěn)定;任意波形發(fā)生器基于DDS技術(shù)產(chǎn)生各種波形,除了函數(shù)發(fā)生器能產(chǎn)生的波形外
2022-03-18 17:38:36

分享一款不錯(cuò)的基于DDS的可視化信號(hào)發(fā)生器

本文介紹一種基于DDS的可視化信號(hào)發(fā)生器,它高精度地生成雙通道±8 V、0.01 Hz~10 MHz正弦波等常規(guī)波形。還可產(chǎn)生數(shù)碼流、調(diào)制信號(hào)、隨機(jī)噪聲、掃頻信號(hào)及時(shí)域或頻域自定義波等信號(hào),信號(hào)參數(shù)
2021-04-08 06:02:07

基于 DDS 原理,應(yīng)用 FPGA 開(kāi)發(fā) 信號(hào)發(fā)生器

現(xiàn)在很多信號(hào)發(fā)生器是基于 DDS 技術(shù)開(kāi)發(fā)的。但是看其性能指標(biāo)有些不明白的地方,不知道是怎么實(shí)現(xiàn)的?比如 采樣率是 500MSa/s, 輸出頻率 100MHz那么他是怎么做到最大頻率下不失真的呢?假如是基于 DDS的話(huà),按照上面的指標(biāo),一個(gè)正弦周期是用5個(gè)點(diǎn)來(lái)描繪的。波形應(yīng)該不會(huì)很好的才是。
2020-10-13 16:21:42

基于430的正弦信號(hào)發(fā)生器

本系統(tǒng)是通過(guò)設(shè)計(jì)一正弦信號(hào)發(fā)生器,利用電位改變振蕩頻率,使頻率在500~2000HZ范圍變化,此過(guò)程可用內(nèi)部帶有DAC模塊的msp430f1611的芯片,通過(guò)軟件方式實(shí)現(xiàn),也可以用LM386芯片通過(guò)純硬件的方式實(shí)現(xiàn);得到的正弦信號(hào)經(jīng)LM331可實(shí)現(xiàn)頻率-電壓的轉(zhuǎn)換后,輸出1~5V的直流電壓。
2014-07-27 09:59:59

基于DDS信號(hào)發(fā)生器輸出的方波信號(hào)

最近在做DDS信號(hào)發(fā)生器,請(qǐng)教一下大神有沒(méi)有能使發(fā)生器輸出的方波信號(hào)升降沿可調(diào)的
2020-06-24 10:16:48

基于DDS原理和FPGA技術(shù)的基本信號(hào)發(fā)生器設(shè)計(jì)

摘要:本設(shè)計(jì)基于DDS原理和FPGA技術(shù)按照順序存儲(chǔ)方式,將對(duì)正弦波、方波、三角波、鋸齒波四種波形的取樣數(shù)據(jù)依次全部存儲(chǔ)在ROM波形表里,通過(guò)外接設(shè)備撥扭開(kāi)關(guān)和鍵盤(pán)控制所需波形信號(hào)的輸出,最終將波形
2019-06-21 07:10:53

基于DDS的波形發(fā)生器

最近在做基于DDS信號(hào)發(fā)生器,MCU用的是STC12C5A60S2單片機(jī),可以發(fā)生正弦波0~50Mhz內(nèi)都很穩(wěn)定,頻率可步進(jìn),但是不知道如何去產(chǎn)生一個(gè)占空比可控的方波,求大神指導(dǎo)代碼,用的DDS是AD9854模塊。
2016-08-05 21:26:44

基于AD9833的信號(hào)發(fā)生器設(shè)計(jì)與實(shí)現(xiàn)

,性能優(yōu)良的信號(hào)發(fā)生器。經(jīng)現(xiàn)場(chǎng)驗(yàn)證,該信號(hào)發(fā)生器可以非常方便地生成各種頻率的正弦波、三角波和方波?!娟P(guān)鍵詞】:直接數(shù)字頻率合成(DDS);;信號(hào)發(fā)生器;;AVR單片機(jī);;SPI總線(xiàn)【DOI】:CNKI
2010-04-24 08:59:31

基于ALTERA實(shí)現(xiàn)DDS信號(hào)發(fā)生器設(shè)計(jì)

基于ALTERA實(shí)現(xiàn)DDS信號(hào)發(fā)生器設(shè)計(jì)
2017-05-12 15:08:10

基于FPGA和DDS的數(shù)字調(diào)制信號(hào)發(fā)生器該怎么設(shè)計(jì)?

信號(hào)發(fā)生器種類(lèi)很多,按是否利用頻率合成技術(shù)來(lái)分,可分為非頻率合成式信號(hào)發(fā)生器與頻率合成式信號(hào)發(fā)生器。其中頻率合成式信號(hào)發(fā)生器的頻率準(zhǔn)確度和穩(wěn)定度都很高,且頻率連續(xù)可調(diào),是信號(hào)發(fā)生器的發(fā)展方向。頻率
2019-09-26 06:45:26

基于FPGA的DDS信號(hào)發(fā)生器

求一個(gè)基于FPGA的DDS信號(hào)發(fā)生器設(shè)計(jì),最好有DA模塊和相位累加模塊的代碼。
2019-03-18 22:09:03

基于直接數(shù)字合成(DDS)技術(shù)的信號(hào)發(fā)生器

,可分為音頻信號(hào)發(fā)生器、射頻信號(hào)發(fā)生器;依據(jù)內(nèi)部原理不同,可分為模擬型信號(hào)發(fā)生器、基于直接數(shù)字合成(DDS)技術(shù)的信號(hào)發(fā)生器;依據(jù)產(chǎn)生信號(hào)類(lèi)型,可分為脈沖信號(hào)發(fā)生器,邏輯信號(hào)發(fā)生器以及通用...
2021-08-09 09:18:26

如何實(shí)現(xiàn)簡(jiǎn)易正弦信號(hào)發(fā)生器的設(shè)計(jì)?

如何實(shí)現(xiàn)簡(jiǎn)易正弦信號(hào)發(fā)生器的設(shè)計(jì)?單片正弦信號(hào)發(fā)生芯片ML2035具有哪些特點(diǎn)?ML2035的基本原理是什么?主要由哪些部分組成?
2021-04-14 06:51:25

如何利用FPGA和DDS技術(shù)實(shí)現(xiàn)正弦信號(hào)發(fā)生器的設(shè)計(jì)

DDS電路的工作原理是什么如何利用FPGA和DDS技術(shù)實(shí)現(xiàn)正弦信號(hào)發(fā)生器的設(shè)計(jì)
2021-04-28 06:35:23

如何利用FPGA設(shè)計(jì)DDS信號(hào)發(fā)生器?

DDS的工作原理和基本結(jié)構(gòu)基于FPGA的DDS信號(hào)發(fā)生器的設(shè)計(jì)如何建立頂層模塊?
2021-04-09 06:46:42

如何設(shè)計(jì)一種基于DDS器件AD9951的射頻正弦信號(hào)發(fā)生器?

設(shè)計(jì)一種基于DDS器件AD9951的射頻正弦信號(hào)發(fā)生器,通過(guò)設(shè)計(jì)、制作和調(diào)試,所得實(shí)驗(yàn)結(jié)果較好,隨后進(jìn)行分析,提出了改進(jìn)意見(jiàn)。
2021-04-07 06:24:46

如何設(shè)計(jì)基于FPGA的DDS信號(hào)發(fā)生器?

信號(hào)發(fā)生器又稱(chēng)信號(hào)源或振蕩,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有 著廣泛的應(yīng)用。能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱(chēng)為函數(shù)信號(hào)發(fā)生器。
2019-11-11 08:07:57

怎么實(shí)現(xiàn)基于CPLD的函數(shù)信號(hào)發(fā)生器設(shè)計(jì)

DDFS的原理和特點(diǎn)是什么?基于CPLD的函數(shù)信號(hào)發(fā)生器設(shè)計(jì)
2021-05-08 08:44:40

怎么實(shí)現(xiàn)基于FPGA+DDS正弦信號(hào)發(fā)生器的設(shè)計(jì)?

介紹了DDS的發(fā)展歷史及其兩種實(shí)現(xiàn)方法的特點(diǎn),論述了DDS的基本原理,并提出一種基于FPGA的DDS信號(hào)發(fā)生器的設(shè)計(jì)方法,使DDS信號(hào)發(fā)生器具有調(diào)頻、調(diào)相的功能,最后對(duì)其性能進(jìn)行了分析。實(shí)驗(yàn)表明該系統(tǒng)具有設(shè)計(jì)合理、可靠性高、結(jié)構(gòu)簡(jiǎn)單等特點(diǎn),具有很好的實(shí)用價(jià)值。
2021-05-11 06:58:58

怎么實(shí)現(xiàn)基于數(shù)字頻率合成DDS正弦信號(hào)發(fā)生器設(shè)計(jì)?

本文介紹一種基于DDS器件AD9851的信號(hào)發(fā)生器設(shè)計(jì)方案。
2021-05-13 07:04:10

怎么利用FPGA設(shè)計(jì)基于DDS信號(hào)發(fā)生器?

本文在討論DDS的基礎(chǔ)上,介紹利用FPGA設(shè)計(jì)的基于DDS信號(hào)發(fā)生器。
2021-05-06 09:54:10

怎么設(shè)計(jì)基于FPGA和虛擬儀器的DDS信號(hào)發(fā)生器?

信號(hào)發(fā)生器是一種常用的信號(hào)源,廣泛應(yīng)用于通信、測(cè)量、科研等現(xiàn)代電子技術(shù)領(lǐng)域。信號(hào)發(fā)生器的核心技術(shù)是頻率合成技術(shù),主要方法有:直接模擬頻率合成、鎖相環(huán)頻率合成(PLL)、直接數(shù)字合成技術(shù)(DDS
2019-09-29 08:08:12

怎樣去設(shè)計(jì)一個(gè)基于DDS技術(shù)的正弦信號(hào)發(fā)生器

摘 要本系統(tǒng)采用AT89S51單片機(jī)為核心,輔以必要的模擬,數(shù)字電路,構(gòu)成了一個(gè)基于DDS技術(shù)的正弦信號(hào)發(fā)生器。該軟件系統(tǒng)采用4*4鍵盤(pán)操作,以菜單形式進(jìn)行顯示,操作方便簡(jiǎn)單,軟件增加了許多
2021-12-08 08:02:36

急急急急求用Verilog HDL編寫(xiě)的DDS正弦信號(hào)發(fā)生器!

求大神們幫忙做一個(gè)用Verilog HDL編寫(xiě)的DDS正弦信號(hào)發(fā)生器,輸出頻率200-3000Hz就行,或者有怎么做的資料也好 求大神幫幫忙?。?394213095@qq.com郵箱 或者提供點(diǎn)源程序資料都行真的急求 求大家?guī)蛶兔Γ?/div>
2015-03-16 16:12:48

求學(xué) 基于DDS芯片的正弦發(fā)生器

,主要由DDS正弦信號(hào)發(fā)生器及增益匹配電路、調(diào)制信號(hào)發(fā)生器、調(diào)幅電路、調(diào)頻電路鍵盤(pán)與顯示電路等組成。主要性能指標(biāo)(1)正弦波輸出頻率范圍:1kHz~10MHz; (2)具有頻率設(shè)置功能,頻率步進(jìn)
2014-07-17 09:44:22

淺析DDS信號(hào)發(fā)生器

DDS信號(hào)發(fā)生器采用直接數(shù)字頻率合成(Direct Digital Synthesis,簡(jiǎn)稱(chēng)DDS)技術(shù),把信號(hào)發(fā)生器的頻率穩(wěn)定度、準(zhǔn)確度提高到與基準(zhǔn)頻率相同的水平,并且可以在很寬的頻率范圍內(nèi)進(jìn)行
2021-08-04 06:09:37

輸出3路相位差為120°的正弦信號(hào)信號(hào)發(fā)生器,求大家推薦一款比較好用的DDS芯片

請(qǐng)問(wèn),我想設(shè)計(jì)一種輸出3路相位差為120°的正弦信號(hào)信號(hào)發(fā)生器,頻率為500HZ左右,支持頻率、幅度,相位差可通過(guò)編程設(shè)置修改,使用專(zhuān)用DDS芯片,求大家推薦一款比較好用的DDS芯片。萬(wàn)分感謝。(需要輸出為多通道的芯片)
2018-08-09 07:14:45

高輸出功率正弦信號(hào)發(fā)生器

的激勵(lì)信號(hào)源通常是由函數(shù)信號(hào)發(fā)生器提供,但往往難以兼顧寬頻帶、寬幅度范圍和低諧波失真,因此需自行設(shè)計(jì)信號(hào)實(shí)現(xiàn)既定信號(hào)輸出?;谥苯訑?shù)字頻率合成DDFS(Direct Digital Frequency Synthesize)技術(shù),依據(jù)調(diào)制信號(hào)相關(guān)原理,設(shè)計(jì)出一種基于DDS正弦信號(hào)發(fā)全文下載
2010-04-24 09:04:53

dsp正弦發(fā)生器

dsp正弦發(fā)生器,在C5000系列DSP上實(shí)現(xiàn)正弦發(fā)生器
2008-01-02 19:29:0485

基于DDS技術(shù)的智能信號(hào)發(fā)生器的設(shè)計(jì)

本文提出了一種以直接數(shù)字頻率合成(DDS)技術(shù)為基礎(chǔ)的信號(hào)發(fā)生器的設(shè)計(jì)。采用單片機(jī)AT89C51 控制DDS 芯片AD9850 產(chǎn)生頻率可調(diào)的正弦信號(hào),并通過(guò)低通濾波器得到純正的信號(hào),最
2009-06-03 11:42:3165

基于DDS技術(shù)的高頻正弦發(fā)生器的設(shè)計(jì)

以混合信號(hào)單片機(jī)C8051F020 及DDS 芯片AD9834 為核心,采用直接數(shù)字合成(DDS)技術(shù)完成多功能高頻正弦信號(hào)發(fā)生器的設(shè)計(jì)。該正弦信號(hào)發(fā)生器可輸出可調(diào)頻穩(wěn)定正弦信號(hào),頻率最高
2009-08-10 15:27:03107

基于DDS技術(shù)的高頻正弦發(fā)生器的設(shè)計(jì)

以混合信號(hào)單片機(jī)C8051F020 及DDS 芯片AD9834 為核心,采用直接數(shù)字合成(DDS)技術(shù)完成多功能高頻正弦信號(hào)發(fā)生器的設(shè)計(jì)。該正弦信號(hào)發(fā)生器可輸出可調(diào)頻穩(wěn)定正弦信號(hào),頻率最高
2009-12-18 15:32:15111

高輸出功率正弦信號(hào)發(fā)生器

  基于直接數(shù)字頻率合成DDFS(Direct Digital Frequency Synthesize)技術(shù),依據(jù)調(diào)制信號(hào)相關(guān)原理,設(shè)計(jì)以DDS集成電路AD9851為核心的正弦信號(hào)發(fā)生器,可精確輸出幅度調(diào)節(jié)范圍為50mV PP ~20V
2010-02-10 16:37:04100

基于FPGA的DDS信號(hào)源設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的DDS信號(hào)源設(shè)計(jì)與實(shí)現(xiàn) 利用DDS和 FPGA 技術(shù)設(shè)計(jì)一種信號(hào)發(fā)生器.介紹了該信號(hào)發(fā)生器的工作原理、 設(shè)計(jì)思路及實(shí)現(xiàn)方法.在 FPGA 器件上實(shí)現(xiàn)了基于 DDS
2010-02-11 08:48:05223

正弦信號(hào)發(fā)生器(A題)

正弦信號(hào)發(fā)生器(A題) 一、任務(wù)    設(shè)計(jì)制作一個(gè)正弦信號(hào)發(fā)生器。 二、要求1、基本
2010-04-16 10:25:5990

受控正弦信號(hào)發(fā)生器

  一、任務(wù)   設(shè)計(jì)并制作二線(xiàn)式電流型電阻變送器控制的正弦信號(hào)發(fā)生器。變送器的輸入為電阻量,輸出為   電流量,信號(hào)發(fā)生部分的輸入為電流量,輸出為
2010-08-18 16:46:3779

DDS數(shù)字移相正弦信號(hào)發(fā)生器的設(shè)計(jì)

在直接數(shù)字頻率合成器(DDS)的基礎(chǔ)上,利用現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)設(shè)計(jì)一款數(shù)字移相正弦信號(hào)發(fā)生器,并通過(guò)Altera公司的DE2開(kāi)發(fā)板來(lái)驗(yàn)證.在輸入環(huán)節(jié)加入一個(gè)數(shù)據(jù)鎖存器,用“
2010-10-20 16:37:02129

基于CPLD的脈沖信號(hào)發(fā)生器的設(shè)計(jì)

提出了基于復(fù)雜可編程邏輯器件(Complex Programmable Logic Device, CPLD)16位的全數(shù)字脈沖信號(hào)發(fā)生器的設(shè)計(jì),可產(chǎn)生周期、占空比均可調(diào)的高穩(wěn)定性脈沖。此設(shè)計(jì)方法可用于DDS函數(shù)信號(hào)發(fā)生
2010-12-09 16:48:2986

基于DDS的幅值可調(diào)信號(hào)發(fā)生器的設(shè)計(jì)

提出了一種基于DDS (Direct Digital Synthesize) AD9850的頻率、相位、幅值均可調(diào)節(jié)的正弦信號(hào)發(fā)生器。該正弦信號(hào)發(fā)生器采用AT89S52單片機(jī)為控制器,D/A轉(zhuǎn)換器TLC5615與乘法器AD534相結(jié)合,實(shí)
2010-12-16 16:14:380

基于AD9851的正弦信號(hào)發(fā)生器設(shè)計(jì)

基于直接數(shù)字頻率合成(DDS)原理,采用AD9851型DDS器件設(shè)計(jì)一個(gè)正弦信號(hào)發(fā)生器,實(shí)現(xiàn)50Hz~15MHz范圍內(nèi)的正弦波輸出,同時(shí)通過(guò)對(duì)器件的控制編程與相關(guān)的簡(jiǎn)單外部電路切換產(chǎn)生各種
2010-12-27 10:11:29295

采用ML2035的簡(jiǎn)易正弦信號(hào)發(fā)生器應(yīng)用設(shè)計(jì)

采用ML2035的簡(jiǎn)易正弦信號(hào)發(fā)生器應(yīng)用設(shè)計(jì) 摘要:在電子和通信產(chǎn)品中往往需要高精度的正弦信號(hào),而傳統(tǒng)的正弦信號(hào)發(fā)生器往往在低頻輸出時(shí)
2009-12-29 11:39:053693

AD9851的正弦信號(hào)發(fā)生器設(shè)計(jì)

AD9851的正弦信號(hào)發(fā)生器設(shè)計(jì) 1 引言直接數(shù)字頻率合成DDS(Direct Digital Syndaesis)是實(shí)現(xiàn)數(shù)字化的一項(xiàng)關(guān)鍵技術(shù),廣泛應(yīng)用于電信與電子儀器領(lǐng)域DDS通常是在CPLD或FPGA內(nèi)
2010-03-29 09:52:213866

基于DDS技術(shù)的信號(hào)發(fā)生器研究與實(shí)現(xiàn)策略

基于DDS技術(shù)的信號(hào)發(fā)生器研究與實(shí)現(xiàn)策略  研究了一種基于DDS芯片AD9850和單片機(jī)AT89S52的信號(hào)發(fā)生器系統(tǒng),能夠產(chǎn)生正弦波、三角波和方波三種波形。該系統(tǒng)頻率、幅值
2010-04-23 11:41:562219

CPLD設(shè)計(jì)的函數(shù)信號(hào)發(fā)生器

CPLD設(shè)計(jì)的函數(shù)信號(hào)發(fā)生器 傳統(tǒng)的信號(hào)源設(shè)計(jì)常采用模擬分立元件或單片壓控函數(shù)發(fā)生器MAX038,可產(chǎn)生正弦波、方波、三角波,并通過(guò)調(diào)整外部元件改變輸出頻率,但由
2010-05-11 17:53:231934

微型DDS信號(hào)發(fā)生器

本文主要介紹的是微型的DDS信號(hào)發(fā)生器的原理和設(shè)計(jì),整個(gè)系統(tǒng)是以AT89S51為控制,外部ROM為存儲(chǔ),AD9850芯片和溫度補(bǔ)償晶體振蕩器構(gòu)成的微型DDS信號(hào)發(fā)生器,采用DM-162點(diǎn)陣液晶顯示模塊
2011-05-05 15:55:36121

CPLD系統(tǒng)上的信號(hào)發(fā)生器設(shè)計(jì)

文中采用Quartus II開(kāi)發(fā)平臺(tái),基于可編程邏輯器件CPLD設(shè)計(jì)出多波形信號(hào)發(fā)生器,可輸出頻率、幅度可調(diào)的三角波、正弦波和方波。任意波形模塊可由用戶(hù)輸出用戶(hù)所需的特殊波形,滿(mǎn)足了
2011-07-04 11:13:571867

基于AD9954的正弦信號(hào)發(fā)生器

介紹了DDS的基本原理,DDS專(zhuān)用芯片AD9954的特性、內(nèi)部結(jié)構(gòu)和控制時(shí)序。提出了一種基于 AD9954 和微處理器的高精度正弦信號(hào)發(fā)生器的設(shè)計(jì)。文中給出了AD9954的硬件配置、控制線(xiàn)連接圖,
2011-08-04 15:52:21220

正弦信號(hào)發(fā)生器實(shí)現(xiàn)_參賽作品

這個(gè)正弦 信號(hào)發(fā)生器 利用最新的頻率合成技術(shù),實(shí)現(xiàn)了1KHz~30MHz的正弦波輸出,頻率步進(jìn)可達(dá)到1Hz,可輸出調(diào)制度可調(diào)的AM信號(hào),5KHz、10KHz最大頻偏的FM信號(hào),100KHz固定頻率載波、碼速
2011-08-23 10:40:21109

DSP實(shí)現(xiàn)正弦信號(hào)發(fā)生器設(shè)計(jì)

結(jié)合DSP硬件特性,通過(guò)使用泰勒級(jí)數(shù)展開(kāi)法得到設(shè)定參數(shù)的正弦波形輸出,達(dá)到設(shè)計(jì)目的。該信號(hào)發(fā)生器彌補(bǔ)了通常信號(hào)發(fā)生器模式固定,波形不可編程的缺點(diǎn),其具有實(shí)時(shí)性強(qiáng),波形精度高
2011-10-19 15:14:33411

正弦信號(hào)發(fā)生器DDS的應(yīng)用分析

直接數(shù)字合成(DDS)技術(shù)可以方便地對(duì)信號(hào)頻率進(jìn)行控制從而直接合成所需波形I該系統(tǒng)主控芯片采用Cygnal公司的高性能單片機(jī)C8051F040,實(shí)現(xiàn)整個(gè)電路的控制,正弦波的發(fā)生采用專(zhuān)用DDS芯片
2012-04-11 14:26:3924

一種新型的正弦信號(hào)發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

為精確地輸出正弦波、調(diào)幅波、調(diào)頻波、PSK、ASK等信號(hào)及保證信號(hào)的高可靠性,設(shè)計(jì)出一種新型的正弦信號(hào)發(fā)生器。該正弦信號(hào)發(fā)生器以可編程邏輯器件CPLD和單片機(jī)AT89S52為基礎(chǔ),采用數(shù)
2012-05-23 10:28:352632

基于單片機(jī)的正弦信號(hào)發(fā)生器的設(shè)計(jì)

摘 要 :本系統(tǒng)采用AT89S51單片機(jī)為核心,輔以必要的模擬,數(shù)字電路,構(gòu)成了一個(gè)基于DDS技術(shù)的正弦信號(hào)發(fā)生器。該軟件系統(tǒng)采用4*4鍵盤(pán)操作,以菜單形式進(jìn)行顯示,操作方便簡(jiǎn)單,
2012-07-31 11:42:1429476

基于ML2035低頻正弦信號(hào)發(fā)生器的設(shè)計(jì)

1 引 言 正弦信號(hào)發(fā)生器是一種廣泛應(yīng)用的信號(hào)源,對(duì)它的要求也隨著技術(shù)的發(fā)展越來(lái)越高。傳統(tǒng)的正弦信號(hào)發(fā)生器產(chǎn)生電路一般采用模擬電路來(lái)實(shí)現(xiàn),低頻輸出的頻率的穩(wěn)定度和精度等
2012-08-03 13:45:073084

基于FPGA的DDS雜散分析及抑制方法

首先介紹了采用直接數(shù)字頻率合成(DDS)技術(shù)的正弦信號(hào)發(fā)生器的基本原理和采用FPGA實(shí)現(xiàn)DDS信號(hào)發(fā)生器的基本方法,然后結(jié)合DDS的原理分析了采用DDS方法實(shí)現(xiàn)正弦信號(hào)發(fā)生器的優(yōu)缺點(diǎn)
2012-11-26 16:23:3249

基于FPGA的DDS波形信號(hào)發(fā)生器的設(shè)計(jì)

設(shè)計(jì)采用Altera公司CycloneII系列EP2C5Q208作為核心器件,采用直接數(shù)字頻率合成技術(shù)實(shí)現(xiàn)了一個(gè)頻率、相位可控的基本信號(hào)發(fā)生器。該信號(hào)發(fā)生器可以產(chǎn)生正弦波、方波、三角波和鋸齒波四種波形。仿真及硬件驗(yàn)證的結(jié)果表明,該信號(hào)發(fā)生器精度高,抗干擾性好,此設(shè)計(jì)方案具有一定的實(shí)用性。
2013-01-22 14:45:33472

信號(hào)發(fā)生器原理_DDS芯片及應(yīng)用_DDS信號(hào)發(fā)生器設(shè)計(jì)

本專(zhuān)題匯集了四十種DDS信號(hào)發(fā)生器各部分資料,包括信號(hào)發(fā)生器原理,DDS芯片及應(yīng)用,信號(hào)發(fā)生器電路圖及DDS信號(hào)發(fā)生器設(shè)計(jì),為你免除大量自行搜索的時(shí)間,讓你深入了解DDS信號(hào)發(fā)生器。
2015-06-23 10:41:36

基于FPGA的正弦信號(hào)發(fā)生器

基于FPGA的正弦信號(hào)發(fā)生器的 技術(shù)論文
2015-10-30 10:39:0520

基于ARM與DDS的高精度正弦信號(hào)發(fā)生器設(shè)計(jì)

基于ARM與DDS的高精度正弦信號(hào)發(fā)生器設(shè)計(jì)
2016-01-04 15:02:290

基于DDS信號(hào)發(fā)生器設(shè)計(jì)

基于dds函數(shù)信號(hào)發(fā)生器,用單片機(jī)及dds實(shí)現(xiàn)正玄波,三角波,矩形波的產(chǎn)生
2016-01-11 14:55:2119

基于vhdl的DDS設(shè)計(jì)簡(jiǎn)單的波形發(fā)生器

基于vhdl的DDS設(shè)計(jì) 簡(jiǎn)單的波形發(fā)生器 可以產(chǎn)生正弦波,方波,三角波,鋸齒波
2016-01-12 17:55:0230

正弦信號(hào)發(fā)生器示列資料

正弦信號(hào)發(fā)生器示列資料,有興趣的同學(xué)可以下載學(xué)習(xí)
2016-04-28 11:59:140

正弦信號(hào)發(fā)生器的設(shè)計(jì)

正弦信號(hào)發(fā)生器的設(shè)計(jì) 需要的可以拿去參考一下
2016-08-29 15:02:0352

DDS多波信號(hào)發(fā)生器實(shí)現(xiàn)

詳細(xì)介紹了直接數(shù)字頻率合成器(DDS)的工作原理、基本結(jié)構(gòu)。在參考DDS 相關(guān)文獻(xiàn)的基礎(chǔ)上,提出了符合結(jié)構(gòu)的DDS 設(shè)計(jì)方案,利用DDS 技術(shù)設(shè)計(jì)了一種高頻率精度的多波形信號(hào)發(fā)生器,此設(shè)計(jì)基于可編程邏輯器件FPGA,采用Max+PlusⅡ開(kāi)發(fā)平臺(tái),由Verilog_HDL 編程實(shí)現(xiàn)
2016-11-22 14:35:130

DDS芯片AD9851在頻率合成信號(hào)發(fā)生器中的應(yīng)用

DDS芯片AD9851在頻率合成信號(hào)發(fā)生器中的應(yīng)用
2016-12-17 21:16:2646

DDS工作原理及基于AD9854的信號(hào)發(fā)生器的設(shè)計(jì)

等特點(diǎn)。文中詳細(xì)分析了該信號(hào)發(fā)生器的系統(tǒng)結(jié)構(gòu)、軟硬件設(shè)計(jì)和具體實(shí)現(xiàn)電路。 DDS 工作原理 AD9854 中使用的 DDS 技術(shù)是根據(jù)奈奎斯特采樣定律, 從連續(xù)信號(hào)的相位出發(fā)將一個(gè)正弦信號(hào)取樣、量化、編碼, 形成一個(gè)正弦函數(shù)表, 存于 EPROM 中; 合成時(shí), 通過(guò)改變相
2017-11-16 14:49:4145

DDS函數(shù)信號(hào)發(fā)生器是什么_DDS函數(shù)信號(hào)發(fā)生器原理及使用方法

DDS信號(hào)發(fā)生器采用直接數(shù)字頻率合成(DirectDigitalSynthesis,簡(jiǎn)稱(chēng)DDS)技術(shù),把信號(hào)發(fā)生器的頻率穩(wěn)定度、準(zhǔn)確度提高到與基準(zhǔn)頻率相同的水平,并且可以在很寬的頻率范圍內(nèi)進(jìn)行精細(xì)的頻率調(diào)節(jié)。采用這種方法設(shè)計(jì)的信號(hào)源可工作于調(diào)制狀態(tài),可對(duì)輸出電平進(jìn)行調(diào)節(jié),也可輸出各種波形。
2018-01-08 10:26:5118741

基于Verilog實(shí)現(xiàn)DDS任意波形發(fā)生器

DDS是從相位的概念直接合成所需波形的一種頻率合成技術(shù)。不僅可以產(chǎn)生不同頻率的正弦波,而且可以控制波形的初始相位。本文為大家介紹基于Verilog實(shí)現(xiàn)DDS任意波形發(fā)生器。
2018-01-08 11:58:196454

正弦信號(hào)發(fā)生器基本原理與設(shè)計(jì)

本文主要介紹了一種正弦信號(hào)發(fā)生器基本原理與設(shè)計(jì),正弦信號(hào)發(fā)生器主要由兩部分組成:正弦信號(hào)發(fā)生器和產(chǎn)生調(diào)幅、調(diào)頻、鍵控信號(hào)。正弦信號(hào)發(fā)生器采用直接數(shù)字頻率合成DDS技術(shù),在CPLD實(shí)現(xiàn)正弦信號(hào)
2018-01-14 13:11:2077447

在FPGA上實(shí)現(xiàn)多路正弦信號(hào)發(fā)生器芯片的設(shè)計(jì)

控制器接收專(zhuān)用芯片外部異步串口傳送的數(shù)據(jù),將這些數(shù)據(jù)進(jìn)行處理后傳送到DDS模塊相應(yīng)寄存器,從而產(chǎn)生特定頻率相位的正弦信號(hào);最后將程序固化到片內(nèi)RAM中,在FPGA上實(shí)現(xiàn)多路正弦信號(hào)發(fā)生器專(zhuān)用芯片的設(shè)計(jì)。
2018-12-30 09:03:008309

DDS信號(hào)發(fā)生器的理解與實(shí)現(xiàn)

DDS信號(hào)發(fā)生器采用直接數(shù)字頻率合成(Direct Digital Synthesis,簡(jiǎn)稱(chēng)DDS)技術(shù),把信號(hào)發(fā)生器的頻率穩(wěn)定度、準(zhǔn)確度提高到與基準(zhǔn)頻率相同的水平,并且可以在很寬的頻率范圍內(nèi)進(jìn)行精細(xì)的頻率調(diào)節(jié)。采用這種方法設(shè)計(jì)的信號(hào)源可工作于調(diào)制狀態(tài),可對(duì)輸出電平進(jìn)行調(diào)節(jié),也可輸出各種波形。
2022-09-01 15:21:321969

已全部加載完成