電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>處理器/DSP>基于DSP2812設(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)

基于DSP2812設(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)

12下一頁全文

本文導(dǎo)航

  • 第 1 頁:基于DSP2812設(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)
  • 第 2 頁:硬件設(shè)計(jì)
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

4位數(shù)字頻率計(jì)原理圖 仿真圖 ms.10文件或protel文件

總體要求:“數(shù)字頻率計(jì)的設(shè)計(jì)與制作”要求學(xué)生以中小規(guī)模集成電路或可編程邏輯器件設(shè)計(jì)并制作一個(gè)數(shù)字頻率計(jì)數(shù)字頻率計(jì)能測(cè)量輸入TTL脈沖信號(hào)的頻率并顯示。數(shù)字頻率計(jì)包括基準(zhǔn)電路、閘門電路、計(jì)數(shù)與鎖存
2012-06-27 16:31:48

4位數(shù)字頻率計(jì)原理圖,.ms10文件或protel文件

i總體要求:“數(shù)字頻率計(jì)的設(shè)計(jì)與制作”要求學(xué)生以中小規(guī)模集成電路或可編程邏輯器件設(shè)計(jì)并制作一個(gè)數(shù)字頻率計(jì)數(shù)字頻率計(jì)能測(cè)量輸入TTL脈沖信號(hào)的頻率并顯示。數(shù)字頻率計(jì)包括基準(zhǔn)電路、閘門電路、計(jì)數(shù)與鎖存
2012-06-27 16:25:14

4位數(shù)字頻率計(jì)的設(shè)計(jì)

4位數(shù)字頻率計(jì)的設(shè)計(jì)要求:輸入信號(hào)方波、正弦波、三角波三種輸入信號(hào)頻率范圍:10~9999Hz顯示采用4位LED數(shù)碼管為了提高測(cè)量精度,可以采用手動(dòng)調(diào)節(jié)測(cè)量參數(shù),必須保證測(cè)量值都顯示4位各位前輩幫幫忙啊,有仿真圖的請(qǐng)發(fā)下郵箱:748232666@qq.com本人是新手,非常感謝各位前輩的幫忙??!
2012-06-17 20:35:20

數(shù)字頻率計(jì)

數(shù)字頻率計(jì)的設(shè)計(jì)報(bào)告
2012-05-10 12:12:09

數(shù)字頻率計(jì)

基于51單片機(jī)的數(shù)字頻率計(jì),源程序及仿真
2012-05-20 20:09:20

數(shù)字頻率計(jì)仿真錯(cuò)誤!分頻器如何級(jí)聯(lián)!

親們,最近小妞一直苦惱,數(shù)字頻率計(jì)電路圖連接完成,可是就是仿真不了,12864LCD不能顯示頻率數(shù)。希望各位仁兄能幫幫忙找出問題!
2015-05-19 13:44:41

數(shù)字頻率計(jì)怎么實(shí)現(xiàn)超量程的功能?

數(shù)字頻率計(jì)怎么實(shí)現(xiàn)超量程的功能
2017-04-14 08:42:43

數(shù)字頻率計(jì)的小伙伴們有沒有

數(shù)字頻率計(jì)的小伙伴們有沒有
2015-08-12 13:36:55

數(shù)字頻率計(jì)的設(shè)計(jì)

哪位高人能幫忙用vhdl做個(gè)四位顯示數(shù)字頻率計(jì)要求1.可測(cè)頻率1--9999hz2.有開始暫停3.溢出報(bào)警4.四位數(shù)碼管顯示且能在實(shí)驗(yàn)箱上實(shí)現(xiàn)quartus||
2016-03-25 20:01:26

數(shù)字頻率計(jì)的設(shè)計(jì)與制作

各位大師請(qǐng)問誰有數(shù)字頻率計(jì)的設(shè)計(jì)與制作,原理圖和程序,能帶上PCB最好?。〖鼻?/div>
2011-11-19 14:34:07

數(shù)字頻率計(jì)設(shè)計(jì)與仿真

本帖最后由 gk320830 于 2015-3-4 12:09 編輯 電子技術(shù)課程設(shè)計(jì)任務(wù)書1-數(shù)字頻率計(jì)設(shè)計(jì)與仿真
2013-06-04 13:34:57

Verilog-數(shù)字頻率計(jì)

本帖最后由 eehome 于 2013-1-5 10:05 編輯 Verilog-數(shù)字頻率計(jì)
2012-08-16 16:35:29

[求助]數(shù)字頻率計(jì)的設(shè)計(jì)

我是大三的,現(xiàn)在要做一個(gè)簡(jiǎn)易數(shù)字頻率計(jì)的課程設(shè)計(jì),希望各位前輩幫助。設(shè)計(jì)要求:該設(shè)計(jì)主要通過硬件電路實(shí)現(xiàn)頻率的測(cè)量。1.脈沖信號(hào)的產(chǎn)生。2.整流放大電路。3.計(jì)數(shù)電路。4.時(shí)基電路的設(shè)計(jì)。
2009-11-27 17:40:07

[求助]數(shù)字頻率計(jì)設(shè)計(jì)

     我是一大三測(cè)控專業(yè)的學(xué)生,我們微電子實(shí)習(xí)需要設(shè)計(jì)一數(shù)字頻率計(jì),個(gè)人由于能力限制,許多方面都還不是很明白,希望能得到
2008-12-12 19:01:39

【AC620 FPGA試用體驗(yàn)】數(shù)字頻率計(jì)

本帖最后由 Harvestlamb 于 2017-8-24 00:37 編輯 項(xiàng)目名稱:基于fpga的數(shù)字頻率計(jì)試用計(jì)劃:基于fpga的數(shù)字頻率計(jì),能達(dá)到2015年全國(guó)大學(xué)生電子設(shè)計(jì)大賽頻率
2017-08-21 13:49:47

【AC620 FPGA試用申請(qǐng)】基于fpga的數(shù)字頻率計(jì)

本帖最后由 Harvestlamb 于 2017-7-24 17:44 編輯 項(xiàng)目名稱:基于fpga的數(shù)字頻率計(jì)試用計(jì)劃:基于fpga的數(shù)字頻率計(jì),能達(dá)到2015年全國(guó)大學(xué)生電子設(shè)計(jì)大賽頻率測(cè)量測(cè)技術(shù)指標(biāo)(100M),并且達(dá)到精準(zhǔn)測(cè)頻,誤差符合題目要求。
2017-06-19 15:32:34

【TL6748 DSP申請(qǐng)】高頻數(shù)字頻率計(jì)的嵌入式設(shè)計(jì)

移植uC/OS系統(tǒng)。以便設(shè)計(jì)出完美的人機(jī)交互界面,上報(bào)國(guó)家優(yōu)秀作品!項(xiàng)目描述:項(xiàng)目設(shè)計(jì)主要圍繞數(shù)字頻率計(jì)的實(shí)現(xiàn),能夠捕獲到高低頻脈沖,同時(shí)能夠算出相位差等!還有罪重要的是移植系統(tǒng),便于人機(jī)交互界面的設(shè)計(jì),達(dá)到示波器的效果。
2015-09-10 11:11:13

具有自動(dòng)換擋功能的數(shù)字頻率計(jì)

基于eda的數(shù)字頻率計(jì),具有自動(dòng)換擋功能,感興趣的朋友可以看下
2014-03-06 14:43:41

單片機(jī)數(shù)字頻率計(jì)外文設(shè)計(jì)文獻(xiàn)

單片機(jī)數(shù)字頻率計(jì)外文設(shè)計(jì)文獻(xiàn)
2015-07-05 12:11:13

哪位大哥有基于51單片機(jī)的數(shù)字頻率計(jì)的資料啊,不勝感

哪位大哥有基于51單片機(jī)的數(shù)字頻率計(jì)的資料啊,不勝感激啊
2012-03-20 13:29:10

基于51單片機(jī)的數(shù)字頻率計(jì)資料

基于51單片機(jī)的數(shù)字頻率計(jì)資料
2012-08-20 11:36:58

基于8086的數(shù)字頻率計(jì)怎么實(shí)現(xiàn)?

七、基于PROTEUS實(shí)現(xiàn)數(shù)字頻率計(jì)的設(shè)計(jì)要求:1.可測(cè)方波信號(hào)頻率;2.通過LED數(shù)碼管顯示被測(cè)信號(hào)頻率;3.要求通過PROTUES完成此項(xiàng)功能,并完成PCB電路圖。
2020-03-17 04:35:22

基于FPGA的數(shù)字頻率計(jì)

最近學(xué)了一段時(shí)間的FPGA,求助大神,可以給我發(fā)一些關(guān)于基于FPGA的數(shù)字頻率計(jì)的資料,做個(gè)小東西練練手1287368714@qq.com
2017-07-26 23:53:53

基于c51的等精度數(shù)字頻率計(jì)

基于c51的等精度數(shù)字頻率計(jì),有仿真圖有源程序,有等精度頻率測(cè)量方法的介紹,大家多支持支持啊
2012-06-27 13:49:12

基于fpga的數(shù)字頻率計(jì)msp430單片機(jī)顯示

做的一個(gè)基于xilinx fpga的一個(gè)數(shù)字頻率計(jì),用單片機(jī)顯示
2015-09-30 11:38:23

基于vhdl數(shù)字頻率計(jì)

有哪個(gè)大神會(huì)基于VHDL數(shù)字頻率計(jì)的設(shè)計(jì)與仿真嗎求求求
2020-03-30 23:14:40

基于單片機(jī)制作數(shù)字頻率計(jì)

跟我做AVR單片機(jī)實(shí)驗(yàn) 用單片機(jī)做數(shù)字頻率計(jì)
2023-09-20 08:11:14

怎樣去設(shè)計(jì)一個(gè)基于單片機(jī)C語言的數(shù)字頻率計(jì)

資料下載地址-1079(百度網(wǎng)盤):點(diǎn)擊下載基于單片機(jī)C語言的數(shù)字頻率計(jì)(單片機(jī)仿真部分)長(zhǎng)按設(shè)置鍵可選擇測(cè)試頻率或者周期 ,短按則是選擇設(shè)置閘門時(shí)間(0.05s~10s可調(diào))#include #include #includ...
2021-12-13 07:48:31

怎樣去設(shè)計(jì)基于單片機(jī)的數(shù)字頻率計(jì)

文末下載完整資料1.1數(shù)字頻率計(jì)概述??數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器。它是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率數(shù)字測(cè)量?jī)x器。它的基本功能是測(cè)量正弦信號(hào),方波
2021-08-09 09:19:58

我用Protel繪制的數(shù)字頻率計(jì)

數(shù)字頻率計(jì)原理圖上面全都是數(shù)字芯片,但是我沒用到總線連接各引腳,是不是用了總線連接之后生成的PCB圖上連線就比較規(guī)則了?
2012-08-14 17:46:06

求助數(shù)字頻率計(jì)題目以下請(qǐng)大神求救急急急?。。。。。?!需要程序和原理圖?。?/a>

求助基于FPGA的數(shù)字頻率計(jì)各種資料

求助基于FPGA的數(shù)字頻率計(jì)怎么做
2015-08-13 09:11:07

求大神 知道單片機(jī)簡(jiǎn)易數(shù)字頻率計(jì)的設(shè)計(jì)

簡(jiǎn)易數(shù)字頻率計(jì),設(shè)計(jì)要求:(1)測(cè)量頻率范圍10Hz~1MHz,量程可自己選擇。(2)精度:1%。(3)被測(cè)信號(hào)可以是方波。(4)顯示方式為4位十進(jìn)制數(shù)顯示。設(shè)計(jì)硬件電路,編寫程序并調(diào)試通過。求大神知道這個(gè)怎么搞????????
2016-11-19 18:58:26

用AT89S51制作的高精度2.4G數(shù)字頻率計(jì)源程序

用AT89S51制作的高精度2.4G數(shù)字頻率計(jì)源程序
2014-08-01 23:24:21

經(jīng)典數(shù)字頻率計(jì)課程設(shè)計(jì)畢業(yè)論文資料

經(jīng)典數(shù)字頻率計(jì)課程設(shè)計(jì)畢業(yè)論文資料針對(duì)電子線路課程要求,對(duì)學(xué)生進(jìn)行實(shí)用型電子線路設(shè)計(jì)、安裝、調(diào)試等各環(huán)節(jié)的綜合性訓(xùn)練,培養(yǎng)學(xué)生運(yùn)用課程中所學(xué)的理論與實(shí)踐緊密結(jié)合,獨(dú)立地解決實(shí)際問題的能力。設(shè)計(jì)內(nèi)容
2012-01-10 14:49:33

萌新求助,求一個(gè)單片機(jī)實(shí)現(xiàn)數(shù)字頻率計(jì)的方案

萌新求助,求一個(gè)單片機(jī)實(shí)現(xiàn)數(shù)字頻率計(jì)的方案
2021-11-08 07:36:08

請(qǐng)問一下如何用ICM7216制作150MHz數(shù)字頻率計(jì)?

如何用ICM7216制作150MHz數(shù)字頻率計(jì)?
2021-05-12 06:50:22

請(qǐng)問怎樣去設(shè)計(jì)一種基于VHDL語言的數(shù)字頻率計(jì)

什么是測(cè)頻法?怎樣去設(shè)計(jì)一種基于VHDL語言的數(shù)字頻率計(jì)?如何對(duì)基于VHDL語言的數(shù)字頻率計(jì)進(jìn)行仿真?
2021-08-17 06:11:41

采用AT89S52設(shè)計(jì)的數(shù)字頻率計(jì)

  本文通過運(yùn)用單片機(jī)AT89S52,Proteus仿真軟件以及Kell仿真軟件的相關(guān)知識(shí),成功地實(shí)現(xiàn)了數(shù)字頻率計(jì)的設(shè)計(jì)。綜合調(diào)試結(jié)果表明,本文提出的設(shè)計(jì)與傳統(tǒng)測(cè)頻系統(tǒng)相比,具有體積小、成本低、低功耗、精度高等優(yōu)點(diǎn),適用于各種測(cè)量電路。
2021-02-04 07:49:11

數(shù)字頻率計(jì)測(cè)頻率的基本原理

了解數(shù)字頻率計(jì)測(cè)頻率與測(cè)周期的基本原理;熟練掌握數(shù)字頻率計(jì)的設(shè)計(jì)與調(diào)試方法及減小測(cè)量誤差的方法。[重點(diǎn)與難點(diǎn)]重點(diǎn):數(shù)字頻率計(jì)的組成框圖和波形圖。難點(diǎn):
2008-12-01 14:36:48508

基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

介紹了一種運(yùn)用FPGA開發(fā)軟件Quartus II設(shè)計(jì)的數(shù)字頻率計(jì)。該數(shù)字頻率計(jì)的1 Hz~1 MHz輸入被測(cè)脈沖信號(hào)具有頻率測(cè)量、周期測(cè)量、脈寬測(cè)量和占空比測(cè)量等多種用途,其測(cè)試結(jié)果由3 只
2009-03-17 10:31:31184

安捷倫53131A數(shù)字頻率計(jì)

聯(lián)系主頁購(gòu)買53131A數(shù)字頻率計(jì)|Agilent53131A|安捷倫53131A|頻率計(jì)|美國(guó)安捷倫Agilent頻率范圍:DC-225MHz,LSD 500PS,GPIB測(cè)量速度可達(dá)200次測(cè)量
2022-12-17 10:51:14

基于Multisim8的簡(jiǎn)易數(shù)字頻率計(jì)仿真

基于Multisim8的簡(jiǎn)易數(shù)字頻率計(jì)仿真:1. 掌握Multisim8的基本操作方法。2. 熟悉各種常用MSI時(shí)序邏輯電路功能和使用方法;掌握多片MSI時(shí)序邏輯電路級(jí)聯(lián)和功能擴(kuò)展技術(shù);學(xué)會(huì)MSI數(shù)字
2009-10-29 22:04:150

基于TMS320F2812數(shù)字頻率計(jì)

基于TMS320F2812數(shù)字頻率計(jì)摘 要:采用多周期測(cè)量原理,即用標(biāo)準(zhǔn)頻率信號(hào)填充整數(shù)個(gè)周期的被測(cè)信號(hào),從而消除了被測(cè)信號(hào)±1的計(jì)數(shù)誤差,其測(cè)量精度僅與門控時(shí)間
2010-04-30 15:26:5541

簡(jiǎn)易數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告

簡(jiǎn)易數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告
2010-08-10 11:45:260

數(shù)字頻率計(jì)課程設(shè)計(jì)圖

數(shù)字頻率計(jì)電路圖 包括了程序,PCB,原理圖。
2008-04-26 10:12:056040

數(shù)字頻率計(jì)電路圖

數(shù)字頻率計(jì)電路圖
2009-04-11 11:30:345686

數(shù)字頻率計(jì)電路圖

數(shù)字頻率計(jì)電路圖
2009-04-11 11:31:292290

數(shù)字頻率計(jì)設(shè)計(jì)

數(shù)字頻率計(jì)設(shè)計(jì) 一、實(shí)驗(yàn)?zāi)康?nbsp;             
2009-06-22 08:40:0435861

基于單片機(jī)的數(shù)字頻率計(jì)的方案

基于單片機(jī)的數(shù)字頻率計(jì)的方案 概述:設(shè)計(jì)一種以單片機(jī)AT89C51為核心的數(shù)字頻率計(jì),介紹了單片機(jī)、數(shù)字譯碼和顯示單元的組成及工作原理。測(cè)量
2010-03-24 10:02:016319

八位150MHz數(shù)字頻率計(jì)的制作

八位150MHz數(shù)字頻率計(jì)的制作 在制作高頻電路時(shí),會(huì)經(jīng)常碰到頻率測(cè)量的問題,實(shí)際上頻率計(jì)(frequency counter)是一種比較容易自制的電子設(shè)備。以下將要制作的頻率計(jì),其
2010-05-14 10:21:206528

數(shù)字頻率計(jì)

數(shù)字頻率計(jì)是一種基礎(chǔ)測(cè)量?jī)x器,到目前為止已有30多年的發(fā)展史。早期,設(shè)計(jì)師們追求的目標(biāo)主要是擴(kuò)展測(cè)量范圍,再加上提高測(cè)量精度、穩(wěn)定度等,這些也是人們衡量數(shù)字頻率計(jì)
2011-04-07 13:40:59929

設(shè)計(jì)簡(jiǎn)易數(shù)字頻率計(jì)

頻率測(cè)量用途非常廣泛,高精度、寬量程的數(shù)字頻率計(jì)因而成為重要的測(cè)量?jī)x器。簡(jiǎn)易 數(shù)字頻率計(jì) 采用多周期測(cè)量原理,即用標(biāo)準(zhǔn)頻率信號(hào)填充整數(shù)個(gè)周期的被測(cè)信號(hào),從而消除了被
2011-06-28 17:31:421203

數(shù)字頻率計(jì)設(shè)計(jì)方案

簡(jiǎn)易數(shù)字頻率計(jì)利用復(fù)雜可編程邏輯器件FPGA,VHDL編程將所有功能模塊集成在一塊芯片上。功能模塊包括時(shí)基脈沖發(fā)生器、計(jì)數(shù)器、數(shù)據(jù)鎖存器和顯示電路4部分。設(shè)計(jì)時(shí)先分別設(shè)計(jì)各功能
2011-07-05 17:14:09826

數(shù)字頻率計(jì)的制作

數(shù)字頻率計(jì)是采用數(shù)字電路制做成的能實(shí)現(xiàn)對(duì)周期性變化信號(hào)頻率測(cè)量的儀器。頻率計(jì)主要用于測(cè)量正弦波、矩形波、三角波和尖脈沖等周期信號(hào)的頻率值。其擴(kuò)展功能可以測(cè)量信號(hào)的周期和脈沖寬度。通常說的,數(shù)字頻率計(jì)是指電子計(jì)數(shù)式頻率計(jì)。
2015-11-20 14:55:57150

基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)

基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)基于verilog語言的數(shù)字頻率計(jì)設(shè)計(jì)
2015-12-08 15:57:230

簡(jiǎn)易數(shù)字頻率計(jì)設(shè)計(jì)

設(shè)計(jì)簡(jiǎn)易數(shù)字頻率計(jì),想學(xué)習(xí)的趕緊下載看看。
2015-12-14 17:40:00120

基于51單片機(jī)的數(shù)字頻率計(jì)

基于51單片機(jī)的數(shù)字頻率計(jì)源程序。
2015-12-17 15:12:54115

數(shù)字頻率計(jì)源程序+電路圖

數(shù)字頻率計(jì),正弦信號(hào),前面有信號(hào)處理電路,proteus仿真
2015-12-23 10:31:550

數(shù)字頻率計(jì)的基本原理

帶仿真圖,簡(jiǎn)單說明數(shù)字頻率計(jì)的設(shè)計(jì)過程及原理
2016-03-18 14:47:4614

基于15芯片的數(shù)字頻率計(jì)

基于15芯片的數(shù)字頻率計(jì),內(nèi)含有詳細(xì)程序,經(jīng)測(cè)試能正常使用,可測(cè)8兆左右的頻率。望采納。
2016-04-29 16:50:266

數(shù)字頻率計(jì)設(shè)計(jì)論文

數(shù)字頻率計(jì)設(shè)計(jì)的論文,可以參考,對(duì)畢設(shè)有用的。
2016-05-06 10:25:52114

數(shù)字頻率計(jì)

數(shù)字頻率計(jì),數(shù)字電路課程的課程設(shè)計(jì), 1) 頻率測(cè)量范圍:1HZ-10KHZ,10KHZ-100KHZ。 2)測(cè)量時(shí)間:T≤1.5S。 3)被測(cè)信號(hào)幅度:0.5V。 4) 具有四位十進(jìn)制數(shù)字顯示功能。
2016-05-13 11:28:0549

EDA報(bào)告(數(shù)字頻率計(jì))

這是一篇關(guān)于EDA課程的關(guān)于數(shù)字頻率計(jì)如何設(shè)計(jì)的課設(shè)說明書。
2016-05-13 15:07:447

畢業(yè)論文:一種基于單片機(jī)數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

數(shù)字頻率計(jì)的設(shè)計(jì),protel仿真,at89c52。
2016-05-26 11:46:3462

簡(jiǎn)易數(shù)字頻率計(jì)

設(shè)計(jì)頻率計(jì)的過程,希望對(duì)大家有用。數(shù)字頻率計(jì)的設(shè)計(jì)包括時(shí)基電路、整形電路、控制電路和計(jì)數(shù)顯示電路四部分組成
2016-06-15 14:22:09220

基于Multisim的數(shù)字頻率計(jì)

簡(jiǎn)單的基于Multisim的數(shù)字頻率計(jì)資料。
2016-06-21 17:02:48272

DDS脈沖數(shù)字頻率計(jì)

DDS脈沖。數(shù)字頻率計(jì)
2017-05-18 09:31:527

基于FPGA數(shù)字頻率計(jì)的設(shè)計(jì)

數(shù)字頻率計(jì)設(shè)計(jì)要點(diǎn),代碼(電子設(shè)計(jì)競(jìng)賽編程、寫論文時(shí)可用)
2017-08-04 09:19:1049

基于單片機(jī)的系統(tǒng)硬件數(shù)字頻率計(jì)的設(shè)計(jì)

基于單片機(jī)的系統(tǒng)硬件數(shù)字頻率計(jì)的設(shè)計(jì)
2017-09-21 15:32:5046

基于單片機(jī)的自動(dòng)量程數(shù)字頻率計(jì)的設(shè)計(jì)

基于單片機(jī)的自動(dòng)量程數(shù)字頻率計(jì)的設(shè)計(jì),
2017-10-26 10:59:1731

基于單片機(jī)設(shè)計(jì)的數(shù)字頻率計(jì)文檔下載

單片機(jī)設(shè)計(jì)的數(shù)字頻率計(jì)論文及總結(jié)
2017-12-21 17:10:3834

簡(jiǎn)易數(shù)字頻率計(jì)電路圖大全

本文主要介紹了四款簡(jiǎn)易數(shù)字頻率計(jì)電路圖大全,由六功能電子表(以下簡(jiǎn)稱“表”)原理可知,當(dāng)把“表”置于“跑表計(jì)時(shí)”工作狀態(tài)時(shí),在兩次按動(dòng)“ADVANCE”鍵后,“表”顯時(shí)間就是兩次按鍵的間隔時(shí)間
2018-03-27 15:41:5060654

如何進(jìn)行簡(jiǎn)易數(shù)字頻率計(jì)的設(shè)計(jì)分析

文章簡(jiǎn)單闡述了數(shù)字頻率計(jì)在設(shè)計(jì)之前的理論分析,給出了數(shù)字頻率計(jì)誤差分析、靈敏度涵義、觸發(fā)信號(hào)誤差、信號(hào)時(shí)間間隔的測(cè)量等問題的理論分析,為數(shù)字頻率計(jì)的設(shè)計(jì)打下了一定的基礎(chǔ)。
2019-05-27 08:00:007

使用Multisim制作的簡(jiǎn)易數(shù)字頻率計(jì)電路圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Multisim制作的簡(jiǎn)易數(shù)字頻率計(jì)電路圖免費(fèi)下載。
2019-06-19 08:00:0065

設(shè)計(jì)一個(gè)簡(jiǎn)易數(shù)字頻率計(jì)的資料合集免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是設(shè)計(jì)一個(gè)簡(jiǎn)易數(shù)字頻率計(jì)的資料合集免費(fèi)下載包括了:Multisim 11的異常與錯(cuò)誤處理方法,at89s51和KEILC參考資料,測(cè)量原理,進(jìn)一步完善資料,可執(zhí)行文件,軟件流程,設(shè)計(jì)方案,實(shí)例演示,使用方法,硬件電路。
2019-06-28 08:00:000

使用FPGA設(shè)計(jì)的數(shù)字頻率計(jì)Verilog程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA設(shè)計(jì)的數(shù)字頻率計(jì)Verilog程序免費(fèi)下載。
2020-01-06 08:00:0024

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)簡(jiǎn)介

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)說明。
2021-05-27 14:41:5750

基于TMS320F2812簡(jiǎn)易數(shù)字頻率計(jì)

  頻率測(cè)量用途非常廣泛,高精度、寬量程的數(shù)字頻率計(jì)因而成為重要的測(cè)量?jī)x器。本設(shè)計(jì)采用多周期測(cè)量原理,即用標(biāo)準(zhǔn)頻率信號(hào)填充整數(shù)個(gè)周期的被測(cè)信號(hào),從而消除了被測(cè)信號(hào)+1 的計(jì)數(shù)誤差,其測(cè)量精度僅與門
2021-06-16 14:15:135

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解

基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解(單片機(jī)原理及應(yīng)用)-該文檔為基于51單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)講解資料,講解的還不錯(cuò),感興趣的可以下載看看…………………………
2021-07-22 10:54:4386

數(shù)字頻率計(jì) 風(fēng)力擺 雙向DC-DC變換器

數(shù)字頻率計(jì) 風(fēng)力擺 雙向DC-DC變換器(服務(wù)器電源a類)-數(shù)字頻率計(jì)、風(fēng)力擺、雙向DC-DC變換器
2021-07-26 14:16:3912

基于單片機(jī)的數(shù)字頻率計(jì)設(shè)計(jì)

文末下載完整資料1.1數(shù)字頻率計(jì)概述? ?數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器。它是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率數(shù)字測(cè)量?jī)x器。它的基本功能是測(cè)量正弦信號(hào)
2021-11-04 12:51:0431

基于51單片機(jī)的數(shù)字頻率計(jì)原理圖

基于單片機(jī)的數(shù)字頻率計(jì)設(shè)計(jì)原理圖免費(fèi)下載。
2022-04-18 09:24:4313

微波數(shù)字頻率計(jì)的基本工作原理是什么?

。 微波數(shù)字頻率計(jì)一般由以下幾個(gè)基本部分組成:前置放大器、混頻器、低通濾波器、掃頻發(fā)生器、參考信號(hào)源、A/D轉(zhuǎn)換器、數(shù)字信號(hào)處理器(DSP)和顯示器。下面將逐個(gè)部分進(jìn)行詳細(xì)說明。 1. 前置放大器:微波信號(hào)通過前置放大器進(jìn)行放
2023-12-21 15:37:07277

已全部加載完成