電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>處理器/DSP>高通驍龍810搶先看:64位八核,20nm工藝

高通驍龍810搶先看:64位八核,20nm工藝

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

臺積電6nm工藝進入量產(chǎn)階段 7nm量產(chǎn)超10億

8月21日,臺積電在其官方博客上宣布,自2018年開始量產(chǎn)的7nm工藝,其所生產(chǎn)的芯片已經(jīng)超過10億顆。此外,臺積電官網(wǎng)還披露了一個消息,其6nm工藝制程于8月20日開始量產(chǎn)。 先看7nm
2020-08-23 08:23:005211

關(guān)于賽靈思(Xilinx) 20nm公告最新常見問題解答

電子發(fā)燒友網(wǎng)訊【編譯/Triquinne】 :賽靈思公司(Xilinx)今天發(fā)布公告,宣布其20nm產(chǎn)品系列發(fā)展戰(zhàn)略,包括下一代8系列All Programmable FPGA以及第二代3D IC和SoC。20nm產(chǎn)品系列建立在業(yè)經(jīng)驗證
2012-11-14 15:32:291076

唱響2013,20nm FPGA背后蘊藏的巨大能量

20nm能讓我們超越什么?對于像賽靈思(Xilinx)這樣剛剛在28nm上花了巨資量產(chǎn)的公司,為什么又要去追20nm呢?20nm FPGA會帶給我們什么樣的科技進步?20nm FPGA背后到底蘊藏了哪些巨大能量?
2013-01-22 08:36:341317

蘋果將于2014年采用臺積電20nm工藝芯片?

 臺積電(TSMC)的高管對即將來臨的20nm芯片生產(chǎn)與銷售信心滿滿,臺積電CEO張忠謀上周就曾做過一個預(yù)測,他說最新的20nm工藝芯片2014年的成績會比先前28nm芯片頭兩年賣得還要好。
2013-01-23 08:57:45699

賽靈思推出多項20nm第一 繼續(xù)保持領(lǐng)先一代優(yōu)勢

賽靈思公司今天宣布下一代20nm All Programmable器件推出的三大里程碑事件。賽靈思20nm產(chǎn)品系列建立在其業(yè)經(jīng)驗證的28nm突破性技術(shù)基礎(chǔ)之上,在系統(tǒng)性能、低功耗和可編程系統(tǒng)集成方面擁有著領(lǐng)先一代的優(yōu)勢。
2013-01-31 15:52:16893

三星:也來看看我們的14nm晶圓吧

三星14nm同樣引入了FinFET晶體管技術(shù),而且又類似GlobalFoundries、聯(lián)電,三星也使用了14+20nm混合工藝,大致來說就是晶體管是14nm的,其它各部分則都是20nm的。
2013-02-08 15:13:141742

臺積電本月將安裝20nm制造設(shè)備,2014年量產(chǎn)

臺積電的20nm芯片生產(chǎn)設(shè)施或?qū)⑴c本月20日開始安裝,有可能在今年第2季度末期拿出20nm SoC產(chǎn)品樣品,正常情況下將在2014年進入量產(chǎn)。
2013-04-07 09:41:26910

20nm器件的32Gbps收發(fā)器到位 Altera FPGA再立里程碑

Altera公司今天宣布,公司展出了業(yè)界首款具有32-Gbps收發(fā)器功能的可編程器件,在收發(fā)器技術(shù)上樹立了另一關(guān)鍵里程碑。此次展示使用了基于TSMC 20SoC工藝技術(shù)的20 nm器件,該成果證實了20nm硅片的性能。
2013-04-09 10:38:431249

明導(dǎo)電子CEO:20nm工藝后 摩爾定律或失效

Mentor CEO認(rèn)為:進入20nm、14/16nm及10nm工藝時代后,摩爾定律可能會失效,每個晶體管成本每年的下降速度不到30%,這導(dǎo)致企業(yè)面臨的成本挑戰(zhàn)會更加嚴(yán)峻。
2013-09-20 10:06:001635

蘋果A8處理器最新消息:采用TSMC 20nm制程工藝

有消息稱,這款蘋果A8芯片將會采用臺積電的20nm制程工藝。出于貨源穩(wěn)定性的考慮,不會采用年底更為超前的16nm。盡管16nm的芯片會在明年正式量產(chǎn),但是產(chǎn)能和技術(shù)上仍不慎穩(wěn)定。
2013-12-16 08:56:431870

AMD明年GPU越過20nm直奔14nm

據(jù)報道AMD明年代號“北極群島”的GPU家族將完全跳過有問題的20nm工藝節(jié)點,北極群島系列GPU將直接采用14nm FinFE工藝生產(chǎn),希望實現(xiàn)更高的效率。
2015-04-24 11:15:501150

10nm芯片工藝設(shè)計 閘極成本將會降低

在歷經(jīng)16nm/14nm閘極成本持續(xù)增加后,可望在10nm時降低。雖然IBS并未預(yù)期工藝技術(shù)停止微縮,但預(yù)計試錯成本(cost penalty)將出現(xiàn)在采用20nm bulk CMOS HKMG和16/14nm FinFET之際。
2015-06-23 10:39:271246

16nm工藝的麒麟650也不是吃干飯的料!

在目前市面上常見的SoC中,主要以28nm、20nm、16nm和14nm這4種制程為主,每種制程根據(jù)生產(chǎn)工藝不同還衍生出很多版本,比如28nm工藝,先后就有LP、HPM、HPC、HPC+四種版本。
2016-05-18 10:52:364401

三星vs臺積電 7nm工藝誰能領(lǐng)先一步?

三星與臺積電工藝之戰(zhàn)從三星跳過20nm工藝而直接開發(fā)14nmFinFET打響,從10nm到如今的7nm之爭,無論誰領(lǐng)先一步,都是半導(dǎo)體工藝的重大突破。 在半導(dǎo)體代工市場上,臺積電一直都以領(lǐng)先的工藝
2017-03-02 01:04:491675

ARM成功流片20nm Cortex-A15多核芯片

此前曾經(jīng)報道ARM的下一代架構(gòu)Cortex A15將提供雙倍于Cortex A9的性能,產(chǎn)品采用TSMC的28nm工藝,不過就在今天ARM和TSMC聯(lián)合宣布已經(jīng)成功流片20nm ARM Cortex-A15 MPCore芯片。
2011-10-19 09:10:401463

賽靈思20nm技術(shù)戰(zhàn)略Roadmap曝光:繼續(xù)領(lǐng)先一代

電子發(fā)燒友網(wǎng)訊:“熟悉FPGA行業(yè)和對賽靈思有一定了解的業(yè)界人士都知道,賽靈思在28nm技術(shù)上取得了多項重大突破, 其產(chǎn)品組合處于整整領(lǐng)先一代?;?8nm技術(shù)突破之上的20nm產(chǎn)品系列
2012-11-19 09:27:483587

805通用版本讓你的開發(fā)應(yīng)用獲得最佳效果

很多開發(fā)者或許并未了解到自己其實能夠趕在最新款處理器商用化之前,便能夠在此類處理器上開發(fā)、測試、優(yōu)化和展示自己的應(yīng)用。Intrinsyc剛剛發(fā)布了基于 805 處理器的移動開發(fā)平臺平板
2018-09-20 16:52:12

820漸行漸近,集成全新Hexagon 680 DSP

和GPGPU計算性能提升高達(dá)40%;Qualcomm還宣布820處理器將首次搭載全新的14Qualcomm Spectra圖像信號處理(ISP)單元,旨在支持卓越的媲美數(shù)碼單反相機(DSLR)的攝影與增強型計算機視覺。
2015-08-26 09:40:23

820里面集成了wifi功能,為什么采用這個芯片的手機中還用其它wifi芯片呢

820里面集成了wifi功能,為什么采用這個芯片的手機中還用其它wifi芯片呢?比如三星s7手機中采用了820芯片,還用murata的wifi芯片有高手指點一下嗎
2017-04-22 21:50:49

865相當(dāng)于什么處理器

://shouji.adiannao.cn/7 麒麟990采用的臺積電先進的EUV-7nm制程,865是晚于麒麟9905G發(fā)布的一款5G芯片,865采用的并不是先進的EUV-7nm制程,而是在傳統(tǒng)的7nm制程工藝上做出了改變?!∮捎?b class="flag-6" style="color: red">驍865的CPU核心采用的是最先進的A77架構(gòu),所以能最大程度上發(fā)揮出自己的性能,而麒麟9905
2021-07-01 13:23:49

865相當(dāng)于什么處理器麒麟

990采用的臺積電先進的EUV-7nm制程,865是晚于麒麟9905G發(fā)布的一款5G芯片,865采用的并不是先進的EUV-7nm制程,而是在傳統(tǒng)的7nm制程工藝上做出了改變。   由于
2021-07-22 07:58:49

SDK2.2及其新SDK功能

緊隨著剛剛發(fā)布的安卓? SDK2.1,我非常高興地向大家推出SDK2.2及其新SDK功能。SDK的搶先發(fā)布產(chǎn)品 SDK能支持安卓系統(tǒng),我們希望開發(fā)者不僅能利用現(xiàn)有商業(yè)設(shè)備的功能,而且
2018-09-20 16:50:48

X60 5G調(diào)制解調(diào)器及射頻系統(tǒng)是什么?

如何看待通剛剛發(fā)布的5nm第三代5G基帶芯片?華為巴5000距離x60的差距有多大?
2021-06-18 07:02:55

快充2.0的手機的極限充電電壓?

用3s電池(Vmax=12.8V)引線接到USB母頭正負(fù)極,插上數(shù)據(jù)線后直接給支持快充2.0的手機充電,手機內(nèi)部充電保護電路受的了嗎?
2018-05-02 15:46:26

通 VS 聯(lián)發(fā)科,你比較看好誰?

,615處理器看起來更給力一些,各方面表現(xiàn)都很突出,當(dāng)然價格也很“突出”。而聯(lián)發(fā)科則繼續(xù)延續(xù)自己高性價比的風(fēng)格,千元價位有如此配置已經(jīng)很討喜了?! 「叨耸袌觯骸 〗衲?,聯(lián)發(fā)科推出了高端
2015-12-17 14:32:36

通4G全網(wǎng)通模塊,MSM8953核心板Cortex-A53架構(gòu)

CPU:MSM8953(625系列), Cortex-A53,主頻為2.2GHz,被認(rèn)為是近幾年高端的 ARM處理器內(nèi)存:標(biāo)配 1GB DDR3,可定制 2GB/3GB/4GB [標(biāo)配 1GB
2017-11-30 16:06:14

8 Gen4放棄公版:升級自研架構(gòu)Oryon CPU

ARM正醞釀對其IP授權(quán)模式進行大刀闊斧地改革。 對此,數(shù)碼閑聊站分享稱,ARM授權(quán)收緊,通最快在SM8750也就是8 Gen4開始使用自研架構(gòu)Nuvia,2+6 8設(shè)計。 此前,雖然
2023-05-28 08:49:17

855移動平臺有哪些亮點?

從連接、性能、AI、拍攝、娛樂等五大方面為大家詳細(xì)講解了855移動平臺各項指標(biāo)性能。
2021-02-26 07:40:00

MSM8953核心板資料

GB]技術(shù)規(guī)格外觀類型:PCBA外觀尺寸:42*50*2.8mm硬件平臺:MSM8953 2.2GHz 點擊查看芯片對比參數(shù)>>>GPU:Adreno
2017-07-21 15:17:32

龍芯片資料和開發(fā)工具分享

芯片的技術(shù)參考資料:并不是每個型號的都齊全,但這里的資料已經(jīng)不少了。 MSM8940處理器概述435采用的是28nm工藝制程,配備了個Cortex-A53處理核心,主頻為1.4GHz,并搭配
2018-09-06 20:24:38

EC-R3566PC四64嵌入式主機相關(guān)資料下載

。RK3566四64處理器RK3566四64Cortex-A55 處理器,主頻最高可達(dá)1.8GHz,效能有大幅提升;采用22nm先進工藝,具有低功耗高性能的特點。8GB大內(nèi)存,支持全鏈路ECC最高可配8GB內(nèi)存容量,可達(dá)到32Bit寬;支持全鏈路ECC,讓數(shù)據(jù)更安全可靠,滿足大內(nèi)存的產(chǎn)
2021-12-16 08:03:16

Exynos5410 VS 800

最近想學(xué)習(xí)下ARM就想買一塊開發(fā)板, 發(fā)現(xiàn)三星的開發(fā)板發(fā)展的很快呀, 上網(wǎng)居然發(fā)現(xiàn)深圳榮品電子出了一個5410的的開發(fā)板。 于是乎就上網(wǎng)找了下Exynos5410的資料了解了下, 看到了很多人
2014-02-26 14:29:30

LV發(fā)布智能手表,采用Wear 3100,續(xù)航可達(dá)五天

  導(dǎo)讀:LV近日公布了新款智能手表——LV Tambour Horizon,采用了Wear 3100處理器,搭載WearOS系統(tǒng)。續(xù)航時間最長可以達(dá)到五天?! ∪蛑莩奁放坡芬淄?/div>
2019-01-13 09:27:51

Win10搭載835電腦續(xù)航可高達(dá)29小時

elecfans電子工程網(wǎng)訊在前幾天的會議上,通公布了基于835的Windows 10筆記本電腦相關(guān)細(xì)節(jié)。通表示,相比Intel平臺的產(chǎn)品來說,835平臺的Windows 10筆記本在
2017-10-20 15:01:16

XX nm制造工藝是什么概念

XX nm制造工藝是什么概念?為什么說7nm是物理極限?
2021-10-20 07:15:43

vivo X20 Plus 屏幕指紋版全網(wǎng)通拆解

搭載處理器,內(nèi)存,閃存,最大支持的外部內(nèi)存卡擴展。英寸分辨率全面屏,內(nèi)置毫安鋰聚合物電池,支持快充技術(shù),前置×萬像素(萬感光元件)攝像頭,支持面部識別功能。與通過點陣投影進行面部識別
2018-06-20 18:35:36

X55組合拳”或?qū)⒔鉀Q5G手機的所有隱憂

無法全網(wǎng)通、續(xù)航差、身材厚,這是目前外界對于5G手機的擔(dān)憂,在正值鬧元宵、賞花燈的北京時間晚上,通對于上述5G手機的擔(dān)憂打出了一套“X55組合拳”,OEM廠商、消費者也許真的可以在5G手機的問題上吃下一顆定心丸了。
2021-01-19 07:02:26

三星宣布:DRAM工藝可達(dá)10nm

三星電子近日在國際學(xué)會“IEDM 2015”上就20nm工藝的DRAM開發(fā)發(fā)表了演講。演講中稱,三星此次試制出了20nm工藝的DRAM,并表示可以“采用同樣的方法,達(dá)到10nm工藝”。 國際電子器件
2015-12-14 13:45:01

基于410的智能后視鏡相關(guān)的資料

本人菜鳥,求大神分享基于410的智能后視鏡相關(guān)的資料。
2016-03-12 16:01:08

如何使用帶面部處理功能的Android SDK套件

利用通新發(fā)布的?安卓SDK 2.0套件,能夠在許多搭載處理器的商用設(shè)備上開發(fā)帶面部處理功能的應(yīng)用。該SDK的面部處理功能能夠分析圖片中的面部,確定諸如微笑程度、眨眼和朝某個方向凝視等
2018-09-19 18:06:17

小米5的那顆核心,究竟有多強

處理器究竟有多強呢?之前,有消息說三星Note4S-LTE將成為世界上第一臺使用810處理器的手機。三星在Note4的Advance版本上,之所以舍棄自家投入巨大的20nm工藝Exyons5433
2016-06-01 19:35:18

特權(quán)同學(xué)新作《FPGA/CPLD邊練邊學(xué)》,樣章搶先看

` 特權(quán)同學(xué)新作《FPGA/CPLD邊練邊學(xué)》,樣章搶先看 {:4_95:}{:4_95:}{:4_95:}特權(quán)同學(xué)新作《FPGA/CPLD邊練邊學(xué)》淘寶首發(fā):http
2013-09-26 10:36:14

特權(quán)同學(xué)新作《FPGA/CPLD邊練邊學(xué)》,目錄搶先看

`特權(quán)新書出版啦?。?!{:4_95:}{:4_95:}{:4_95:}特權(quán)同學(xué)新作《FPGA/CPLD邊練邊學(xué)》淘寶首發(fā):http://item.taobao.com/item.htm?spm=a1z10.1.w4004-1145932200.18.p3UIrF&id=22361611048目錄搶先看:`
2013-09-23 21:28:01

請問FPGA中的nm是什么意思?

像我們看到的Xilinx 28nm Virtex 7 28mm或者20nm 的UltraScale啊。nm在FPGA里面具體指什么呢
2018-10-08 17:18:18

通最強CPU 中興神秘新旗艦首度曝光

通最強CPU 中興神秘新旗艦首度曝光通公司于4月7日發(fā)布了下一代64處理器,分別為真810和六808。雖然這兩款全新處理器尚需等到今年下半年上市,但是有消息稱中興
2014-04-09 11:59:18

855平臺紅米K20 Pro手機介紹 #硬聲創(chuàng)作季

Qualcomm AthQualcommQualcomm855手機技術(shù)
Hello,World!發(fā)布于 2022-09-29 16:16:38

8gen2將提前發(fā)布,采用臺積電工藝制程#芯片

臺積電工藝臺積Qualcomm AthQualcommQualcomm行業(yè)資訊
新知錄發(fā)布于 2022-10-26 14:35:06

臺積電又跳過22nm工藝 改而直上20nm

臺積電又跳過22nm工藝 改而直上20nm 為了在競爭激烈的半導(dǎo)體代工行業(yè)中提供最先進的制造技術(shù),臺積電已經(jīng)決定跳過22nm工藝的研
2010-04-15 09:52:16867

臺積電4nm!8+正式發(fā)布:性能提升10 功耗驟降30 #硬聲創(chuàng)作季

功耗40nm臺積時事熱點
jf_49750429發(fā)布于 2022-11-03 04:56:28

8 Gen2官宣:11月15日見 #硬聲創(chuàng)作季

Snapdragon時事熱點
jf_49750429發(fā)布于 2022-11-03 11:18:26

#硬聲創(chuàng)作季 老旗艦還是能買嗎?8+和8該怎么選

手機Snapdragon8
深??聃?/span>發(fā)布于 2022-11-03 13:28:20

GlobalFoundries流片20nm測試芯片

GlobalFoundries日前試產(chǎn)了20nm測試芯片,該芯片采用Cadence,Magma,Mentor Graphics和Synopsys的設(shè)計工具。此次試制的測試芯片使用了雙重圖形(Double Patterning),每家EDA合作伙伴都提供了大量的布局
2011-09-01 09:53:111269

AMD展望20/14nm:不再盲目追求新工藝

AMD考慮改變傳統(tǒng)的工藝策略,不再一味盲目追新?,F(xiàn)在我們談?wù)?b class="flag-6" style="color: red">20nm和14nm。我認(rèn)為我們在亞原子世界中行走得確實很艱難。轉(zhuǎn)換到新工藝所帶來的價格優(yōu)勢已經(jīng)開始模糊
2011-12-18 14:21:13807

Sondrel展示20nm模擬和數(shù)碼設(shè)計技術(shù)

Sondrel公司近日將在IIC-China 2012現(xiàn)場展示20nm的模擬和數(shù)碼設(shè)計技術(shù)。Sondrel在歐洲不同國家,以及以色列和中國有強大的設(shè)計服務(wù)團隊,包括前端,驗證,DFT等全套設(shè)計服務(wù)。
2012-02-23 09:49:46994

臺積電:20nm僅會提供一種制程

  晶圓代工巨擘臺積電(TSMC)日前表示,將在 20nm 節(jié)點提供單一製程,這與該公司過去針對不同製程節(jié)點均提供多種製程服務(wù)的策略稍有不同。
2012-04-22 11:09:441076

GlobalFoundries開始安裝20nm TSV設(shè)備

  GlobalFoundries 已開始在紐約的 Fab 8 廠房中安裝硅穿孔(TSV)設(shè)備。如果一切順利,該公司希望在2013下半年開始採用 20nm 及 28nm 製程技術(shù)製造3D堆疊晶片。
2012-05-01 10:13:121039

臺積電重金投入R&D 專注20與14nm工藝研發(fā)

據(jù)報道,2012年臺積電準(zhǔn)備為其R&D投入13億美元,作為本年度資本支出預(yù)算中的一部分。去年,臺積電的R&D預(yù)算首次突破10億美元。而今年多出的30%將會用于20nm和14nm工藝研發(fā)。20nm工藝預(yù)計
2012-05-15 10:18:21675

三星開始量產(chǎn)20nm LPDDR2 Mobile DRAM

南韓媒體朝鮮日報、聯(lián)合新聞通訊社(Yonhap News )日文版18日報導(dǎo),全球DRAM龍頭廠三星電子于17日宣布,已領(lǐng)先全球于前(4)月開始量產(chǎn)采用20nm制程技術(shù)的低耗電力(LP)DDR2 Mobile DRA
2012-05-19 08:19:501078

臺積電將于下月試產(chǎn)20nm芯片

據(jù)臺灣媒體報道,臺積電(TSMC)預(yù)計會在下月試產(chǎn)20nm芯片制程,即將成為全球首家進入20nm技術(shù)的半導(dǎo)體公司。若該芯片試產(chǎn)成功,將超越英特爾(Intel)的22nm制程,拉開與三星電子(
2012-07-18 09:44:33840

ARM和Globalfoundries聯(lián)手研發(fā)20nm移動芯片

8月14日消息,ARM和芯片工廠Globalfoundries日前宣布,雙方將聯(lián)手研發(fā)20nm工藝節(jié)點和FinFET技術(shù)。 ARM之前和臺積電進行了緊密合作,在最近發(fā)布了若干使用臺積電28nm工藝節(jié)點制作的硬宏處理
2012-08-14 08:48:11636

Altera公司20nm器件創(chuàng)新業(yè)界高性能

Altera公司昨日公開了在其下一代20nm產(chǎn)品中規(guī)劃的幾項關(guān)鍵創(chuàng)新技術(shù)。延續(xù)在硅片融合上的承諾,Altera向客戶提供終極系統(tǒng)集成平臺,以結(jié)合FPGA的硬件可編程功能、數(shù)字信號處理器和微
2012-09-07 09:25:04657

基于Altera 20nm及更小尺寸工藝的系統(tǒng)技術(shù)特性及功能

每一代硅片新技術(shù)既帶來了新機遇,也意味著挑戰(zhàn),因此,當(dāng)我們設(shè)計系統(tǒng)時,需要重新審視最初所作出的成本和功耗決定。20 nm以及今后的硅片技術(shù)亦是如此。 Altera在 20nm 制造節(jié)點的
2012-09-07 09:41:08477

臺積電20nm制程獲將用于蘋果A7試產(chǎn)

臺積電積極開發(fā)20nm制程,花旗環(huán)球證券指出,在技術(shù)領(lǐng)先優(yōu)勢下,未來1~2年內(nèi)有機會獨吞蘋果(Apple)A7處理器訂單。野村證券評估,臺積電明年第1季開始試產(chǎn)A7,順利的話,后年上半
2012-09-28 09:40:061048

Mentor的CalibreLFD獲得TSMC的20nm制造工藝認(rèn)證

Mentor Graphics公司日前宣布Calibre LFD(光刻友好設(shè)計)光刻檢查工具已獲得TSMC的20nm IC制造工藝認(rèn)證。 Calibre LFD可對熱點進行識別,還可對設(shè)計工藝空間是否充足進行檢查。光學(xué)臨近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD獲得TSMC的20nm制造工藝認(rèn)證

電子發(fā)燒友網(wǎng)核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好設(shè)計)光刻檢查工具已獲得TSMC的20nm IC制造工藝認(rèn)證。 Calibre LFD可對熱點進行識別,還可對設(shè)計工藝空間是否充足進
2012-10-08 16:00:14915

Altera:20nm技術(shù)延續(xù)硅片融合承諾

近期,Altera發(fā)布其下一代20nm產(chǎn)品中規(guī)劃的幾項關(guān)鍵創(chuàng)新技術(shù),延續(xù)在硅片融合上的承諾,克服了20nm設(shè)計五大挑戰(zhàn),實現(xiàn)了系統(tǒng)集成、串行帶寬、DSP性能三大突破。
2012-10-16 11:29:101077

深入剖析FPGA 20nm工藝 Altera創(chuàng)新發(fā)展之道

電子發(fā)燒友網(wǎng)核心提示: 本文就可編程邏輯廠商阿爾特拉(Altera)公司首次公開的20nm創(chuàng)新技術(shù)展開調(diào)查以及深入的分析;深入闡述了FPGA邁向20nm工藝,Altera憑借其異構(gòu)3D IC、高速收發(fā)器
2012-11-01 13:48:581993

賽靈思(Xilinx)解讀20nm的價值:繼續(xù)領(lǐng)先一代

電子發(fā)燒友網(wǎng)訊:關(guān)于摩爾定律的經(jīng)濟活力問題,有很多的討論。在過去的一年中,20nm節(jié)點進入到這個辯論的前沿和中心。無論說辭如何,包括賽靈思在內(nèi)的行業(yè)領(lǐng)導(dǎo)在20nm研發(fā)上的積極
2012-11-14 11:19:521196

20納米(nm)FPGA :如箭在弦

電子發(fā)燒友網(wǎng)訊 :臺積電28nm良率大幅提升的利好還沒被市場徹底消化, FPGA業(yè)界雙雄 已爭先恐后地發(fā)布20nm FPGA戰(zhàn)略,在性能、功耗、集成度等方面均大幅躍升,蠶食ASIC之勢將愈演愈烈
2012-11-30 11:51:231865

NVIDIA選中臺積電20nm 將制造“麥克斯韋”

據(jù)《韓國日報》報道,NVIDIA在新制造工藝上已經(jīng)選中了臺積電的20nm,雙方的長期合作將繼續(xù)深入下去,而這也意味著,NVIDIA代號麥克斯韋(Maxwell)的下代GPU仍將出自臺積電之手。
2012-12-07 17:00:14839

搶占20nm制高點,Xilinx下一代產(chǎn)品優(yōu)勢全解析

Xilinx公布其在20nm產(chǎn)品的表現(xiàn)上還將保持領(lǐng)先一代的優(yōu)勢,究竟在20 nm制程上,Xilinx的產(chǎn)品有哪些演進使其保持領(lǐng)先競爭對手一代的優(yōu)勢?詳見本文
2013-01-10 09:33:43961

TSMC將為蘋果提供AP/GPU集成的解決方案,并采用20nm SoC工藝

臺灣半導(dǎo)體制造公司(TSMC)將為蘋果提供AP/ GPU集成的解決方案,并且采用20nm Soc片上系統(tǒng)工藝為蘋果代工。
2013-01-17 20:58:171257

賽靈思發(fā)布UltraScale架構(gòu),20nm開始投片

賽靈思公司今天宣布,延續(xù)28nm工藝一系列行業(yè)創(chuàng)新,在20nm工藝節(jié)點再次推出兩大行業(yè)第一:投片半導(dǎo)體行業(yè)首款20nm器件,也是可編程邏輯器件(PLD)行業(yè)首款20nm All
2013-07-09 20:01:503807

Xilinx業(yè)界首款20nm All Programmable產(chǎn)品開始發(fā)貨

(TWSE: 2330, NYSE: TSM)生產(chǎn)的半導(dǎo)體產(chǎn)業(yè)首款20nm產(chǎn)品,同時也是可編程邏輯器件(PLD)產(chǎn)業(yè)首款20nm All Programmable 產(chǎn)品。賽靈思UltraScale?器件采用
2013-11-12 11:24:051214

20/16nm將成主流 先進工藝怎適應(yīng)?

017年20nm、16nm及以下的先進工藝將成為主流,這對我們設(shè)計業(yè)、制造業(yè)是一個很大的啟示:我們怎么樣適應(yīng)全球先進工藝。
2013-12-16 09:40:211925

Xilinx宣布率先量產(chǎn)20nm FPGA器件

2014年12月22日,中國北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其 Kintex? UltraScale? KU040 FPGA成為業(yè)界首款投入量產(chǎn)的20nm器件。
2014-12-22 17:36:13967

Xilinx UltraScale 20nm器件助力打造JDSU ONT 400G以太網(wǎng)測試平臺

All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其Virtex? UltraScale? 20nm FPGA已應(yīng)用于JDSU ONT 400G以太網(wǎng)測試平臺。
2015-04-09 11:13:25857

8 Gen 3:智能手機未來的強大引擎

智能手機
北京中科同志科技股份有限公司發(fā)布于 2023-10-25 09:38:49

JDSU 400G 以太網(wǎng)測試平臺基于Xilinx 20nm UltraScale 器件

幾個星期之前在2015OFC展上,JDSU 推出了基于20nm UltraScale全可編程器件的預(yù)標(biāo)準(zhǔn)ONT 400G以太網(wǎng)測試平臺。新的測試平臺采用了JDSU成功的ONT測試平臺結(jié)構(gòu),其率先
2017-02-09 04:56:33245

使用Xilinx 20nm工藝的UltraScale FPGA來降低功耗的19種途徑

在絕大部分使用電池供電和插座供電的系統(tǒng)中,功耗成為需要考慮的第一設(shè)計要素。Xilinx決定使用20nm工藝的UltraScale器件來直面功耗設(shè)計的挑戰(zhàn),本文描述了在未來的系統(tǒng)設(shè)計中,使用Xilinx 20nm工藝的UltraScale FPGA來降低功耗的19種途徑。
2018-07-14 07:21:005058

20nm技術(shù)的發(fā)展應(yīng)景

  20nm會延續(xù)摩爾定律在集成上發(fā)展趨勢,但是要付出成本代價。2.5D封裝技術(shù)的發(fā)展,進一步提高了集成度,但是也增大了成本,部分解決了DRAM總線電源和帶寬問題,在一個封裝中集成了種類更多的IC。隨著系統(tǒng)性能的提高,這一節(jié)點也增加了體系結(jié)構(gòu)的復(fù)雜度。目前為止,它也是功耗管理最復(fù)雜的節(jié)點。
2017-09-15 09:54:3010

賽靈思業(yè)界20nm技術(shù)首次投片標(biāo)志著UltraScale架構(gòu)時代來臨

在28nm技術(shù)突破的基礎(chǔ)上,賽靈思又宣布推出基于20nm節(jié)點的兩款業(yè)界首創(chuàng)產(chǎn)品。賽靈思是首家推出20nm商用芯片產(chǎn)品的公司。此外,該新型器件也是賽靈思將向市場推出的首款采用UltraScale技術(shù)
2018-01-12 05:49:45706

臺積電制造工藝落后于三星可能導(dǎo)致失去客戶

臺積電似乎以更穩(wěn)妥的方式發(fā)展先進制造工藝,其20nm工藝性能表現(xiàn)不良導(dǎo)致高通的驍龍810出現(xiàn)發(fā)熱問題,在推進16nm工藝上選擇了更穩(wěn)健的方式即是先在2014年研發(fā)16nm工藝再在2015年三季度引入
2018-05-25 14:36:313305

中芯國際在先進工藝制程上可望加快追趕海外企業(yè)的速度

梁孟松是臺積電前研發(fā)處長,是臺積電FinFET工藝的技術(shù)負(fù)責(zé)人,而FinFET工藝是芯片制造工藝從28nm20nm工藝以下演進的關(guān)鍵,2014年臺積電研發(fā)出16nm工藝之后因制程能效甚至不
2018-09-02 09:00:133310

Intel Arria ARM Cortex 20nm SoC FPGA上的8個電源開啟順序的確定

ADI Guneet Chadha探討電源系統(tǒng)管理(PSM)如何確定Intel Arria ARM Cortex 20nm SoC FPGA上8個電源的時序或按照預(yù)定順序開啟各電源
2019-07-24 06:16:001618

華為發(fā)布新機nova 5 搭載最新7nm芯片麒麟810

nova 5搭載了華為最新推出的麒麟810810和980一樣采用了7nm制程工藝。目前,全球采用7nm制程工藝的SOC芯片只有四款:蘋果A12,高通驍龍855,華為麒麟980和麒麟810,四占其二,華為是目前唯一擁有兩款7nm制程SOC的公司。
2019-06-21 22:02:309168

智慧營銷先行者大會 亮點搶先看

智慧營銷先行者大會 亮點搶先看
2020-02-28 14:27:081501

Xilinx投片首個ASIC級可編程架構(gòu)的行業(yè)首款20nm器件

賽靈思UltraScale架構(gòu):行業(yè)第一個ASIC級可編程架構(gòu),可從20nm平面晶體管結(jié)構(gòu) (planar)工藝向16nm乃至FinFET晶體管技術(shù)擴展,從單芯片(monolithic)到3D IC擴展。
2019-12-18 15:30:23801

傳麒麟820處理器將采用6nm工藝 在定位上與麒麟810一樣

去年六月份發(fā)布的麒麟810處理器借助7nm制程的優(yōu)勢,將高通等一眾對手遠(yuǎn)遠(yuǎn)的甩在身后。而針對未來即將登場的升級換代產(chǎn)品,華為海思也準(zhǔn)備繼續(xù)復(fù)制這樣的做法,在工藝制程上再次領(lǐng)先對手半年左右。根據(jù)熟悉
2020-01-02 14:53:541426

5nm晶圓價格高達(dá)11萬,蘋果為什么還搶先用5nm工藝呢?

的價格,其中7nm晶圓代工價格不過9346美元,5nm價格就陡然提升到了16988美元,算下來差不多11萬人民幣了。 價格提升這么多,蘋果為啥還會搶先用5nm工藝呢?對于這個問題,ARM的高管Winnie Shao在其個人微博上公布了一個模擬結(jié)果,基于不同節(jié)點的工藝密度,計算了
2021-01-28 09:44:061361

基于20nm工藝制程的FPGA—UltraScale介紹

UltraScale是基于20nm工藝制程的FPGA,而UltraScale+則是基于16nm工藝制程的FPGA。
2023-03-09 14:12:544129

議題搶先看|WAA邀您參加夏季論壇

原文標(biāo)題:議題搶先看|WAA邀您參加夏季論壇 文章出處:【微信公眾號:開源技術(shù)服務(wù)中心】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
2023-07-14 19:05:03280

一圖速覽!中軟國際、深開鴻華為全聯(lián)接大會2023亮點搶先看

? ? 原文標(biāo)題:一圖速覽!中軟國際、深開鴻華為全聯(lián)接大會2023亮點搶先看 文章出處:【微信公眾號:中軟國際】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
2023-09-15 20:45:02358

已全部加載完成