電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>處理器/DSP>光學(xué)神經(jīng)網(wǎng)絡(luò)系統(tǒng)重要部件:近零能耗的可編程納米光學(xué)處理器將問世

光學(xué)神經(jīng)網(wǎng)絡(luò)系統(tǒng)重要部件:近零能耗的可編程納米光學(xué)處理器將問世

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

用ARM和FPGA搭建神經(jīng)網(wǎng)絡(luò)處理器通信方案

FPGA的嵌入式應(yīng)用。某人工神經(jīng)網(wǎng)絡(luò)的FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場(chǎng)可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2015-08-19 08:54:231936

基于光學(xué)芯片的神經(jīng)網(wǎng)絡(luò)訓(xùn)練

美國(guó)斯坦福大學(xué)的研究人員已經(jīng)證明,可以直接在光學(xué)芯片上訓(xùn)練人工神經(jīng)網(wǎng)絡(luò)。這一重大突破表明,光學(xué)電路可以實(shí)現(xiàn)基于電子的人工神經(jīng)網(wǎng)絡(luò)的關(guān)鍵功能,進(jìn)而可以以更便宜、更快速和更節(jié)能的方式執(zhí)行語音識(shí)別、圖像識(shí)別等復(fù)雜任務(wù)。
2018-07-30 08:48:496741

可編程邏輯控制PLC的核心處理功能有哪些

可編程邏輯控制PLC是什么?可編程邏輯控制PLC的核心處理功能有哪些?
2021-09-18 06:15:22

可編程邏輯簡(jiǎn)介

什么是可編程邏輯?  在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類型:存儲(chǔ)、微處理器和邏輯器件。存儲(chǔ)器用來存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來完成范圍廣泛的任務(wù),如運(yùn)行字處理
2019-07-10 08:16:49

神經(jīng)處理單元IP VIP9000怎么樣?

芯片設(shè)計(jì)平臺(tái)即服務(wù)(SiPaaS?)公司芯原(VeriSilicon)今日宣布推出VIP9000,這是一款高度可擴(kuò)展、可編程的計(jì)算機(jī)視覺和人工智能處理器。Vivante VIP系列的專利神經(jīng)網(wǎng)絡(luò)
2019-09-11 11:52:13

神經(jīng)網(wǎng)絡(luò)基本介紹

神經(jīng)網(wǎng)絡(luò)基本介紹
2018-01-04 13:41:23

神經(jīng)網(wǎng)絡(luò)教程(李亞非)

  第1章 概述  1.1 人工神經(jīng)網(wǎng)絡(luò)研究與發(fā)展  1.2 生物神經(jīng)元  1.3 人工神經(jīng)網(wǎng)絡(luò)的構(gòu)成  第2章人工神經(jīng)網(wǎng)絡(luò)基本模型  2.1 MP模型  2.2 感知模型  2.3 自適應(yīng)線性
2012-03-20 11:32:43

神經(jīng)網(wǎng)絡(luò)移植到STM32的方法

神經(jīng)網(wǎng)絡(luò)移植到STM32最近在做的一個(gè)項(xiàng)目需要用到網(wǎng)絡(luò)進(jìn)行擬合,并且擬合得到的結(jié)果用作控制,就在想能不能直接在單片機(jī)上做神經(jīng)網(wǎng)絡(luò)計(jì)算,這樣就可以實(shí)時(shí)計(jì)算,不依賴于上位機(jī)。所以要解決的主要是兩個(gè)
2022-01-11 06:20:53

神經(jīng)網(wǎng)絡(luò)簡(jiǎn)介

神經(jīng)網(wǎng)絡(luò)簡(jiǎn)介
2012-08-05 21:01:08

神經(jīng)網(wǎng)絡(luò)解決方案讓自動(dòng)駕駛成為現(xiàn)實(shí)

使用最為有利的系統(tǒng)。訓(xùn)練往往在線下通過基于 CPU 的系統(tǒng)、圖形處理器 (GPU) 或現(xiàn)場(chǎng)可編程門陣列 (FPGA) 來完成。由于計(jì)算功能強(qiáng)大且設(shè)計(jì)人員對(duì)其很熟悉,這些是用于神經(jīng)網(wǎng)絡(luò)訓(xùn)練的最為理想
2017-12-21 17:11:34

神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)程序

神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)程序
2018-01-04 13:29:33

納米結(jié)構(gòu)如何提高光學(xué)傳感靈敏度?

納米結(jié)構(gòu)的幾何形狀只要滿足特定條件,并匹配入射光的波長(zhǎng),就能夠大幅提高光學(xué)傳感的靈敏度。這是因?yàn)榫植?b class="flag-6" style="color: red">納米結(jié)構(gòu)可以極大地放大或減少光的電磁場(chǎng)。據(jù)麥姆斯咨詢報(bào)道,由Christiane Becker
2018-10-30 11:00:20

AI知識(shí)科普 | 從無人相信到萬人追捧的神經(jīng)網(wǎng)絡(luò)

神經(jīng)網(wǎng)絡(luò)的發(fā)展可以追溯到二戰(zhàn)時(shí)期,那時(shí)候先輩們正想著如何用人類的方式去存儲(chǔ)和處理信息,于是他們開始構(gòu)建計(jì)算系統(tǒng)。由于當(dāng)時(shí)計(jì)算機(jī)機(jī)器和技術(shù)的發(fā)展限制,這一技術(shù)并沒有得到廣泛的關(guān)注和應(yīng)用。幾十年來
2018-06-05 10:11:50

ETPU-Z2全可編程神經(jīng)網(wǎng)絡(luò)開發(fā)平臺(tái)

處理器的形式存在,專用于基于某個(gè)特定神經(jīng)網(wǎng)絡(luò)算法的特定應(yīng)用。在這樣的ASIC系統(tǒng)中,EEP-TPU的應(yīng)用按照專用ASIC系統(tǒng)的方式,特定流程的任務(wù)以軟件+硬件結(jié)合的方式實(shí)現(xiàn)。在特定的嵌入式系統(tǒng)
2020-05-18 17:13:24

MATLAB神經(jīng)網(wǎng)絡(luò)

MATLAB神經(jīng)網(wǎng)絡(luò)
2013-07-08 15:17:13

MicroBlaze處理器的PetaLinux操作系統(tǒng)怎么移植?

)作為一種特殊的嵌入式微處理器系統(tǒng),已逐漸成為一個(gè)新興的技術(shù)方向。SOPC融合了SoC和FPGA各自的優(yōu)點(diǎn),并具備軟硬件在系統(tǒng)可編程、可裁減、可擴(kuò)充、可升級(jí)的功能。其核心是在FPGA上實(shí)現(xiàn)的嵌入式微處理器
2020-03-16 06:37:20

labview BP神經(jīng)網(wǎng)絡(luò)的實(shí)現(xiàn)

請(qǐng)問:我在用labview做BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)故障診斷,在NI官網(wǎng)找到了機(jī)器學(xué)習(xí)工具包(MLT),但是里面沒有關(guān)于這部分VI的幫助文檔,對(duì)于”BP神經(jīng)網(wǎng)絡(luò)分類“這個(gè)范例有很多不懂的地方,比如
2017-02-22 16:08:08

matplotlib動(dòng)態(tài)演示深度學(xué)習(xí)之tensorflow神經(jīng)網(wǎng)絡(luò)系統(tǒng)自動(dòng)學(xué)習(xí)散點(diǎn)(二次函數(shù)+noise)并優(yōu)化修正并且輸出結(jié)果可視化

TF之NN:matplotlib動(dòng)態(tài)演示深度學(xué)習(xí)之tensorflow神經(jīng)網(wǎng)絡(luò)系統(tǒng)自動(dòng)學(xué)習(xí)散點(diǎn)(二次函數(shù)+noise)并優(yōu)化修正并且輸出結(jié)果可視化
2018-12-21 10:48:26

【PYNQ-Z2申請(qǐng)】基于PYNQ的神經(jīng)網(wǎng)絡(luò)自動(dòng)駕駛小車

,并在屏幕上使用Qt綜合顯示小車實(shí)時(shí)圖像與神經(jīng)網(wǎng)絡(luò)控制實(shí)時(shí)狀態(tài)。PYNQ-Z2平臺(tái)搭載Xilinx Zynq7020芯片,擁有充足的可編程邏輯資源,并嵌入了雙核Cortex-A9處理器硬核,符合作品進(jìn)行
2018-12-19 11:36:24

【PYNQ-Z2試用體驗(yàn)】神經(jīng)網(wǎng)絡(luò)基礎(chǔ)知識(shí)

python語言,可以很輕松地實(shí)現(xiàn)復(fù)雜的數(shù)學(xué)運(yùn)算,降低編程難度。下一篇文章,通過具體代碼,演示基于神經(jīng)網(wǎng)絡(luò)的手寫圖形識(shí)別。
2019-03-03 22:10:19

【PYNQ-Z2試用體驗(yàn)】基于PYNQ的神經(jīng)網(wǎng)絡(luò)自動(dòng)駕駛小車 - 項(xiàng)目規(guī)劃

的開源作品實(shí)在少之又少,使得基于神經(jīng)網(wǎng)絡(luò)的作品對(duì)于部分電子愛好者仍帶有一分神秘的光環(huán)。本作品依托的PYNQ-Z2開發(fā)板擁有充足的可編程邏輯資源,并嵌入了雙核Cortex-A9處理器硬核,滿足小車視頻
2019-03-02 23:10:52

【案例分享】ART神經(jīng)網(wǎng)絡(luò)與SOM神經(jīng)網(wǎng)絡(luò)

今天學(xué)習(xí)了兩個(gè)神經(jīng)網(wǎng)絡(luò),分別是自適應(yīng)諧振(ART)神經(jīng)網(wǎng)絡(luò)與自組織映射(SOM)神經(jīng)網(wǎng)絡(luò)。整體感覺不是很難,只不過一些最基礎(chǔ)的概念容易理解不清。首先ART神經(jīng)網(wǎng)絡(luò)是競(jìng)爭(zhēng)學(xué)習(xí)的一個(gè)代表,競(jìng)爭(zhēng)型學(xué)習(xí)
2019-07-21 04:30:00

【案例分享】基于BP算法的前饋神經(jīng)網(wǎng)絡(luò)

`BP神經(jīng)網(wǎng)絡(luò)首先給出只包含一個(gè)隱層的BP神經(jīng)網(wǎng)絡(luò)模型(兩層神經(jīng)網(wǎng)絡(luò)): BP神經(jīng)網(wǎng)絡(luò)其實(shí)由兩部分組成:前饋神經(jīng)網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)是前饋的,其權(quán)重都不回送到輸入單元,或前一層輸出單元(數(shù)據(jù)信息是單向
2019-07-21 04:00:00

一個(gè)利用物理系統(tǒng)實(shí)現(xiàn)深層網(wǎng)絡(luò)學(xué)習(xí)和推理的框架

基于機(jī)械系統(tǒng)、電子線路、光學(xué)系統(tǒng)構(gòu)建的P物理神經(jīng)網(wǎng)絡(luò)這類建構(gòu)在物理系統(tǒng)而非數(shù)字處理器之上的神經(jīng)網(wǎng)絡(luò)目標(biāo)是在推理速度和能效方面超過傳統(tǒng)數(shù)字計(jì)算機(jī),構(gòu)建智能傳感和高效網(wǎng)絡(luò)推理。猜測(cè)大多數(shù)人和我一樣,第一
2022-09-26 16:14:55

一種基于高效采樣算法的時(shí)序圖神經(jīng)網(wǎng)絡(luò)系統(tǒng)介紹

成為了非常重要的問題。 基于以上問題,本文提出了一種基于高效采樣算法的時(shí)序圖神經(jīng)網(wǎng)絡(luò)系統(tǒng) 。首先我們介紹用于時(shí)序圖神經(jīng)網(wǎng)絡(luò)采樣的高效采樣方法。采樣常常被用于深度學(xué)習(xí)中以降低模型的訓(xùn)練時(shí)間。然而現(xiàn)有的采樣
2022-09-28 10:34:13

人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法有哪些?

人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network,ANN)是一種類似生物神經(jīng)網(wǎng)絡(luò)的信息處理結(jié)構(gòu),它的提出是為了解決一些非線性,非平穩(wěn),復(fù)雜的實(shí)際問題。那有哪些辦法能實(shí)現(xiàn)人工神經(jīng)網(wǎng)絡(luò)呢?
2019-08-01 08:06:21

人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版)

的基本處理單元,它是神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)基礎(chǔ)。神經(jīng)元是以生物的神經(jīng)系統(tǒng)神經(jīng)細(xì)胞為基礎(chǔ)的生物模型。在人們對(duì)生物神經(jīng)系統(tǒng)進(jìn)行研究,以探討人工智能的機(jī)制時(shí),把神經(jīng)元數(shù)學(xué)化,從而產(chǎn)生了神經(jīng)元數(shù)學(xué)模型。因此,要了解人工神經(jīng)模型就必須先了解生物神經(jīng)元模型。`
2018-10-23 16:16:02

什么是可編程邏輯

什么是可編程邏輯 ? ??在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類型:存儲(chǔ)、微處理器和邏輯器件。存儲(chǔ)器用來存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來完成范圍廣泛的任務(wù),如運(yùn)行字文
2009-05-29 11:36:21

什么是可編程邏輯控制可編程邏輯控制有哪些特點(diǎn)?

什么是可編程邏輯控制可編程邏輯控制主要有哪些特點(diǎn)?可編程邏輯控制主要有哪些應(yīng)用領(lǐng)域?
2021-07-05 06:00:06

什么是LSTM神經(jīng)網(wǎng)絡(luò)

簡(jiǎn)單理解LSTM神經(jīng)網(wǎng)絡(luò)
2021-01-28 07:16:57

介紹一款可編程語音處理器的設(shè)計(jì)與應(yīng)用

介紹一款可編程語音處理器的設(shè)計(jì)與應(yīng)用
2021-06-03 07:16:50

何謂神經(jīng)網(wǎng)絡(luò)處理指令?有什么作用?

何謂神經(jīng)網(wǎng)絡(luò)處理指令?有什么作用?Armv8.1-M核心實(shí)施選項(xiàng)包括哪些?
2021-06-29 09:07:44

全連接神經(jīng)網(wǎng)絡(luò)和卷積神經(jīng)網(wǎng)絡(luò)有什么區(qū)別

全連接神經(jīng)網(wǎng)絡(luò)和卷積神經(jīng)網(wǎng)絡(luò)的區(qū)別
2019-06-06 14:21:42

關(guān)于matlab神經(jīng)網(wǎng)絡(luò)系統(tǒng)的問題

今天做了一個(gè)神經(jīng)網(wǎng)絡(luò)模型,結(jié)果performance一直達(dá)不到要求,想問一下,是哪里出問題了呢?還有就是我的第二張圖只有一條曲線,這又是為什么呢,希望有大牛能幫忙解答
2018-05-03 15:45:15

動(dòng)態(tài)可編程模擬信號(hào)處理器RangeMaster5怎么樣?

Anadigm日前推出的RangeMaster5是一種動(dòng)態(tài)可編程模擬信號(hào)處理器,支持I和Q通道濾波,適合HF/UHF頻段通用RFID閱讀,這是該公司推出的第三代RFID閱讀,電壓為3.3伏,適合
2019-10-15 06:40:01

卷積神經(jīng)網(wǎng)絡(luò)一維卷積的處理過程

以前的神經(jīng)網(wǎng)絡(luò)幾乎都是部署在云端(服務(wù)上),設(shè)備端采集到數(shù)據(jù)通過網(wǎng)絡(luò)發(fā)送給服務(wù)做inference(推理),結(jié)果再通過網(wǎng)絡(luò)返回給設(shè)備端。如今越來越多的神經(jīng)網(wǎng)絡(luò)部署在嵌入式設(shè)備端上,即
2021-12-23 06:16:40

卷積神經(jīng)網(wǎng)絡(luò)為什么適合圖像處理?

卷積神經(jīng)網(wǎng)絡(luò)為什么適合圖像處理
2022-09-08 10:23:10

卷積神經(jīng)網(wǎng)絡(luò)如何使用

卷積神經(jīng)網(wǎng)絡(luò)(CNN)究竟是什么,鑒于神經(jīng)網(wǎng)絡(luò)在工程上經(jīng)歷了曲折的歷史,您為什么還會(huì)在意它呢? 對(duì)于這些非常中肯的問題,我們似乎可以給出相對(duì)簡(jiǎn)明的答案。
2019-07-17 07:21:50

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用

神經(jīng)網(wǎng)絡(luò)研究的第一次浪潮。1969 年美國(guó)數(shù)學(xué)家及人工智能先驅(qū) Minsky在其著作中證 明感知本質(zhì)上是一種線性模型[21],只能處理線性分 類問題,最簡(jiǎn)單的異或問題都無法正確分類,因此神 經(jīng)網(wǎng)絡(luò)的研究也
2022-08-02 10:39:39

卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)和常用框架

  卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)  卷積神經(jīng)網(wǎng)絡(luò)的常用框架
2020-12-29 06:16:44

卷積神經(jīng)網(wǎng)絡(luò)簡(jiǎn)介:什么是機(jī)器學(xué)習(xí)?

模型。第 3 部分研究使用專用 AI 微控制測(cè)試模型的特定用例。什么是卷積神經(jīng)網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)系統(tǒng)神經(jīng)元結(jié)構(gòu),使人工智能能夠更好地理解數(shù)據(jù),使其能夠解決復(fù)雜的問題。雖然有許多網(wǎng)絡(luò)類型,但本系
2023-02-23 20:11:10

卷積神經(jīng)網(wǎng)絡(luò)(CNN)是如何定義的?

什么是卷積神經(jīng)網(wǎng)絡(luò)?ImageNet-2010網(wǎng)絡(luò)結(jié)構(gòu)是如何構(gòu)成的?有哪些基本參數(shù)?
2021-06-17 11:48:22

可分離卷積神經(jīng)網(wǎng)絡(luò)在 Cortex-M 處理器上實(shí)現(xiàn)關(guān)鍵詞識(shí)別

的架構(gòu)成為可能,甚至在資源受限的微控制器件中也能運(yùn)行。在 Cortex-M 處理器上運(yùn)行關(guān)鍵詞識(shí)別時(shí),內(nèi)存占用和執(zhí)行時(shí)間是兩個(gè)最重要因素,在設(shè)計(jì)和優(yōu)化用于該用途的神經(jīng)網(wǎng)絡(luò)時(shí),應(yīng)該考慮到這兩大因素
2021-07-26 09:46:37

圖像預(yù)處理和改進(jìn)神經(jīng)網(wǎng)絡(luò)推理的簡(jiǎn)要介紹

為提升識(shí)別準(zhǔn)確率,采用改進(jìn)神經(jīng)網(wǎng)絡(luò),通過Mnist數(shù)據(jù)集進(jìn)行訓(xùn)練。整體處理過程分為兩步:圖像預(yù)處理和改進(jìn)神經(jīng)網(wǎng)絡(luò)推理。圖像預(yù)處理主要根據(jù)圖像的特征,數(shù)據(jù)處理成規(guī)范的格式,而改進(jìn)神經(jīng)網(wǎng)絡(luò)推理主要用于輸出結(jié)果。 整個(gè)過程分為兩個(gè)步驟:圖像預(yù)處理神經(jīng)網(wǎng)絡(luò)推理。需要提前安裝Tengine框架,
2021-12-23 08:07:33

基于光學(xué)芯片的神經(jīng)網(wǎng)絡(luò)訓(xùn)練解析,不看肯定后悔

基于光學(xué)芯片的神經(jīng)網(wǎng)絡(luò)訓(xùn)練解析,不看肯定后悔
2021-06-21 06:33:55

基于BP神經(jīng)網(wǎng)絡(luò)的PID控制

神經(jīng)網(wǎng)絡(luò)可以建立參數(shù)Kp,Ki,Kd自整定的PID控制。基于BP神經(jīng)網(wǎng)絡(luò)的PID控制系統(tǒng)結(jié)構(gòu)框圖如下圖所示:控制由兩部分組成:經(jīng)典增量式PID控制;BP神經(jīng)網(wǎng)絡(luò)...
2021-09-07 07:43:47

基于FPGA的神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性

FPGA實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)關(guān)鍵問題分析基于FPGA的ANN實(shí)現(xiàn)方法基于FPGA的神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性
2021-04-30 06:58:13

如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)?

如何用stm32cube.ai簡(jiǎn)化人工神經(jīng)網(wǎng)絡(luò)映射?如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)?
2021-10-11 08:05:42

如何利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)

性能好,規(guī)模大,可重復(fù)編程,開發(fā)投資小等優(yōu)點(diǎn)。隨著微電子技術(shù)的發(fā)展,F(xiàn)PGA的成本不斷下降,正逐漸成為各種電子產(chǎn)品不可或缺的重要部件。由于FPGA有著如此眾多的優(yōu)點(diǎn),因此系統(tǒng)采用FPGA作為控制芯片,實(shí)現(xiàn)可編程電壓源系統(tǒng),為需要可調(diào)電壓源的電子產(chǎn)品提供高精度、高可靠性的電壓。但是,誰知道我們具體該怎么做嗎?
2019-08-07 08:03:09

如何利用SoPC實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)速度控制?

不確定因素影響,并且隨著可編程片上系統(tǒng)SoPC和大規(guī)?,F(xiàn)場(chǎng)可編程門陣列FPGA的出現(xiàn),為神經(jīng)網(wǎng)絡(luò)控制的硬件實(shí)現(xiàn)提供了新的載體。
2019-08-12 06:25:35

如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?

原文鏈接:http://tecdat.cn/?p=5725 神經(jīng)網(wǎng)絡(luò)是一種基于現(xiàn)有數(shù)據(jù)創(chuàng)建預(yù)測(cè)的計(jì)算系統(tǒng)。如何構(gòu)建神經(jīng)網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)包括:輸入層:根據(jù)現(xiàn)有數(shù)據(jù)獲取輸入的層隱藏層:使用反向傳播優(yōu)化輸入變量權(quán)重的層,以提高模型的預(yù)測(cè)能力輸出層:基于輸入和隱藏層的數(shù)據(jù)輸出預(yù)測(cè)
2021-07-12 08:02:11

如何用ARM和FPGA搭建神經(jīng)網(wǎng)絡(luò)處理器通信方案?

某人工神經(jīng)網(wǎng)絡(luò)的FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場(chǎng)可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2021-05-21 06:35:27

如何設(shè)計(jì)光學(xué)FPGA?

silicon photonic circuits“?;阪N離子注入的硅波導(dǎo)工藝和激光退火工藝,他們實(shí)現(xiàn)了可擦除的定向耦合,進(jìn)而實(shí)現(xiàn)了可編程的硅基集成光路,也就是所謂的光學(xué)FPGA。
2019-10-21 08:04:48

如何設(shè)計(jì)BP神經(jīng)網(wǎng)絡(luò)圖像壓縮算法?

(Digital Signal Processor)相比,現(xiàn)場(chǎng)可編程門陣列(Field Programma-ble Gate Array,F(xiàn)PGA)在神經(jīng)網(wǎng)絡(luò)的實(shí)現(xiàn)上更具優(yōu)勢(shì)。DSP處理器處理時(shí)采用指令順序執(zhí)行
2019-08-08 06:11:30

如何進(jìn)行高效的時(shí)序圖神經(jīng)網(wǎng)絡(luò)的訓(xùn)練

現(xiàn)有的圖數(shù)據(jù)規(guī)模極大,導(dǎo)致時(shí)序圖神經(jīng)網(wǎng)絡(luò)的訓(xùn)練需要格外長(zhǎng)的時(shí)間,因此使用多GPU進(jìn)行訓(xùn)練變得成為尤為重要,如何有效地多GPU用于時(shí)序圖神經(jīng)網(wǎng)絡(luò)訓(xùn)練成為一個(gè)非常重要的研究議題。本文提供了兩種方式來
2022-09-28 10:37:20

應(yīng)用人工神經(jīng)網(wǎng)絡(luò)模擬污水生物處理

應(yīng)用人工神經(jīng)網(wǎng)絡(luò)模擬污水生物處理(1.浙江工業(yè)大學(xué)建筑工程學(xué)院, 杭州 310014; 2.鎮(zhèn)江水工業(yè)公司排水管理處,鎮(zhèn)江 212003)摘要:針對(duì)復(fù)雜的非線性污水生物處理過程,開發(fā)了徑向基函數(shù)的人
2009-08-08 09:56:00

怎么利用FPGA設(shè)計(jì)可編程電壓源系統(tǒng)

性能好,規(guī)模大,可重復(fù)編程,開發(fā)投資小等優(yōu)點(diǎn)。隨著微電子技術(shù)的發(fā)展,F(xiàn)PGA的成本不斷下降,正逐漸成為各種電子產(chǎn)品不可或缺的重要部件。由于FPGA有著如此眾多的優(yōu)點(diǎn),因此系統(tǒng)采用FPGA作為控制芯片,實(shí)現(xiàn)可編程電壓源系統(tǒng),為需要可調(diào)電壓源的電子產(chǎn)品提供高精度、高可靠性的電壓。
2019-08-19 06:54:51

怎么解決人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問題

本文提出了一個(gè)基于FPGA 的信息處理的實(shí)例:一個(gè)簡(jiǎn)單的人工神經(jīng)網(wǎng)絡(luò)應(yīng)用Verilog 語言描述,該數(shù)據(jù)流采用模塊化的程序設(shè)計(jì),并考慮了模塊間數(shù)據(jù)傳輸信號(hào)同 步的問題,有效地解決了人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問題。
2021-05-06 07:22:07

怎么設(shè)計(jì)ARM與神經(jīng)網(wǎng)絡(luò)處理器的通信方案?

FPGA的嵌入式應(yīng)用。某人工神經(jīng)網(wǎng)絡(luò)的FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場(chǎng)可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2019-09-20 06:15:20

有關(guān)脈沖神經(jīng)網(wǎng)絡(luò)的基本知識(shí)

譯者|VincentLee來源 |曉飛的算法工程筆記脈沖神經(jīng)網(wǎng)絡(luò)(Spiking neural network, SNN)脈沖神經(jīng)元作為計(jì)算單...
2021-07-26 06:23:59

求助基于labview的神經(jīng)網(wǎng)絡(luò)pid控制

小女子做基于labview的蒸發(fā)過程中液位的控制,想使用神經(jīng)網(wǎng)絡(luò)pid控制,請(qǐng)問這個(gè)控制方法可以嗎?有誰會(huì)神經(jīng)網(wǎng)絡(luò)pid控制么。。。叩謝
2016-09-23 13:43:16

第6章 神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)-PPT及程序

第6章 神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)-PPT及程序.rar
2018-03-18 20:56:09

粒子群優(yōu)化模糊神經(jīng)網(wǎng)絡(luò)在語音識(shí)別中的應(yīng)用

的研究具有重要意義.模糊神經(jīng)網(wǎng)絡(luò)是人工神經(jīng)網(wǎng)絡(luò)和模糊系統(tǒng)相結(jié)合的新型網(wǎng)絡(luò)結(jié)構(gòu),把它應(yīng)用于語音識(shí)別系統(tǒng),使系統(tǒng)不僅具有非線性、自適應(yīng)性、魯棒性和自學(xué)習(xí)等神經(jīng)網(wǎng)絡(luò)本來的優(yōu)勢(shì),也具有模糊推理和模糊劃分等模糊邏輯全文下載
2010-05-06 09:05:35

西門子新式光學(xué)接開關(guān)用于激光傳感

  西門子Automation&Drives(A&D)在它的激光傳感中加入了兩種新的光學(xué)接開關(guān)。新的開關(guān)很小,傳感有更大的范圍和更多功能。新的光學(xué)接開關(guān)給L20激光反射傳感和C20激光接觸
2018-11-19 16:47:35

請(qǐng)問14納米的ARM 處理器和14納米的X86移動(dòng)處理器那個(gè)更省電??

14納米的ARM 處理器和14納米的X86移動(dòng)處理器那個(gè)更省電??
2020-07-14 08:03:23

降低可編程電源系統(tǒng)設(shè)計(jì)風(fēng)險(xiǎn)的方法

可編程再配置性能夠最終的規(guī)范轉(zhuǎn)換為新型的復(fù)雜系統(tǒng)元件(FPGA、微型控制、針對(duì)應(yīng)用程序的處理器)的電源要求,而無需對(duì)最終的面板等級(jí)、硬件設(shè)計(jì)進(jìn)行改動(dòng)?! 。?)在整個(gè)器件的重新編程過程中,PPS
2018-09-26 17:30:08

隱藏技術(shù): 一種基于前沿神經(jīng)網(wǎng)絡(luò)理論的新型人工智能處理器

隱藏技術(shù): 一種基于前沿神經(jīng)網(wǎng)絡(luò)理論的新型人工智能處理器 Copy東京理工大學(xué)的研究人員開發(fā)了一種名為“ Hiddenite”的新型加速芯片,該芯片可以在計(jì)算稀疏“隱藏神經(jīng)網(wǎng)絡(luò)”時(shí)達(dá)到最高的精度
2022-03-17 19:15:13

非局部神經(jīng)網(wǎng)絡(luò),打造未來神經(jīng)網(wǎng)絡(luò)基本組件

`非局部計(jì)算作為獲取長(zhǎng)時(shí)記憶的通用模塊,提高神經(jīng)網(wǎng)絡(luò)性能在深度神經(jīng)網(wǎng)絡(luò)中,獲取長(zhǎng)時(shí)記憶(long-range dependency)至關(guān)重要。對(duì)于序列數(shù)據(jù)(例如語音、語言),遞歸運(yùn)算
2018-11-12 14:52:50

基于網(wǎng)絡(luò)處理器可編程路由器技術(shù)研究

網(wǎng)絡(luò)處理器是一種可編程處理器,用于高效的處理網(wǎng)絡(luò)中的數(shù)據(jù)流。網(wǎng)絡(luò)處理器具有廣泛的用途,基于網(wǎng)絡(luò)處理器的路由器同使用通用處理器的路由器和使用專用集成電路的路由
2009-06-04 08:25:3124

模糊神經(jīng)網(wǎng)絡(luò)系統(tǒng)在微波效應(yīng)數(shù)據(jù)處理中的應(yīng)用

模糊神經(jīng)網(wǎng)絡(luò)系統(tǒng)在微波效應(yīng)數(shù)據(jù)處理中的應(yīng)用:描述了建立神經(jīng)網(wǎng)絡(luò)驅(qū)動(dòng)的模糊系統(tǒng)的基本過程,結(jié)合部分微波效應(yīng)數(shù)據(jù)建立了一個(gè)小型的效應(yīng)預(yù)測(cè)評(píng)估模型。對(duì)應(yīng)于學(xué)習(xí)樣本,給
2009-10-26 18:36:4312

用現(xiàn)場(chǎng)可編程門陣列設(shè)計(jì)前向神經(jīng)網(wǎng)絡(luò)

本文給出了利用現(xiàn)場(chǎng)可編程門陣列來實(shí)現(xiàn)多層前向神經(jīng)網(wǎng)絡(luò)(反向傳播-BP 網(wǎng)絡(luò))的方法。首先利用了相關(guān)軟件在理論上作了算法上的仿真,在此基礎(chǔ)上構(gòu)建了前向神經(jīng)網(wǎng)絡(luò)的硬
2010-01-25 11:56:136

神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)程序

神經(jīng)網(wǎng)絡(luò)系統(tǒng)辨識(shí)程序
2017-12-06 15:06:310

傳特斯拉自動(dòng)駕駛系統(tǒng)是用神經(jīng)網(wǎng)絡(luò)編程

Autopilot編程團(tuán)隊(duì)主要分為兩部分:第一個(gè)團(tuán)隊(duì)構(gòu)建了神經(jīng)網(wǎng)絡(luò)本身,而第二個(gè)團(tuán)隊(duì)則專注于神經(jīng)網(wǎng)絡(luò)的實(shí)際編程,它包括選擇已標(biāo)注的圖像,幫助神經(jīng)網(wǎng)絡(luò)學(xué)習(xí)。
2018-06-20 09:36:553636

直接在光學(xué)芯片上訓(xùn)練人工神經(jīng)網(wǎng)絡(luò)

新的訓(xùn)練協(xié)議在具有可調(diào)諧光束分離器的光學(xué)電路運(yùn)行,通過改變光學(xué)移相器的設(shè)置進(jìn)行調(diào)整。具體來說,該方法是將編碼有待處理信息的激光束發(fā)射到光學(xué)電路中,由光波導(dǎo)通過光束分離器進(jìn)行傳輸,像旋鈕一樣進(jìn)行調(diào)整,以訓(xùn)練神經(jīng)網(wǎng)絡(luò)算法。
2018-07-29 10:14:573639

用光速實(shí)現(xiàn)深度學(xué)習(xí),探討光學(xué)神經(jīng)網(wǎng)絡(luò)的應(yīng)用

光學(xué)人工神經(jīng)網(wǎng)絡(luò)直觀模擬大腦處理信息的方式,可應(yīng)用到新的相機(jī)設(shè)計(jì)和光學(xué)組件設(shè)計(jì)中,也可用于醫(yī)療技術(shù)、機(jī)器人、安全等領(lǐng)域。
2018-09-09 10:28:196706

Nature下一代計(jì)算架構(gòu)革命 從全光學(xué)神經(jīng)網(wǎng)絡(luò)開始

近日,Nature刊載IBM新研究,使用光學(xué)器件打造的“全光學(xué)”深度神經(jīng)網(wǎng)絡(luò)可以比傳統(tǒng)計(jì)算方式的能效更高,同時(shí)具備可擴(kuò)展性、無需光電轉(zhuǎn)換和高帶寬等優(yōu)勢(shì)。這一發(fā)現(xiàn)可能給未來光學(xué)神經(jīng)網(wǎng)絡(luò)加速器的出現(xiàn)打下基礎(chǔ)。
2019-05-13 11:06:482639

全球首款可編程納米管芯片問世

MIT和ADI公司的研究人員們創(chuàng)造了第一個(gè)完全可編程的16位碳納米管微處理器。它是迄今基于碳納米管的CMOS邏輯最復(fù)雜的集成,擁有14000多個(gè)晶體管,基于RISC-V架構(gòu),可執(zhí)行與商用微處理器相同的任務(wù)。
2019-09-02 14:37:291054

思科新推可編程處理器,性能更優(yōu)越

思科推出了其稱之為是世界上最具可擴(kuò)展性和可編程的400G網(wǎng)絡(luò)處理器——nPower X1,以適應(yīng)不斷增大的網(wǎng)絡(luò)流量。
2019-12-18 15:20:25833

UCLA新型光學(xué)神經(jīng)網(wǎng)絡(luò)可立即識(shí)別物體

美國(guó)加州大學(xué)洛杉磯分校研發(fā)了一種光學(xué)神經(jīng)網(wǎng)絡(luò),可能可以生產(chǎn)出無需額外計(jì)算機(jī)處理就可立即識(shí)別物體的光學(xué)設(shè)備。
2020-04-15 17:13:041917

完全通過光學(xué),不要計(jì)算機(jī)也能構(gòu)建個(gè)神經(jīng)網(wǎng)絡(luò)?

在傳統(tǒng)混合光學(xué)神經(jīng)網(wǎng)絡(luò)中,光學(xué)組件通常用于線性運(yùn)算,而非線性激活函數(shù)通常使用電子的方式實(shí)現(xiàn)。這主要因?yàn)榉蔷€性光學(xué)元器件需要高功率的激光器,這在光學(xué)神經(jīng)網(wǎng)絡(luò)中是很難實(shí)現(xiàn)的。
2020-04-17 09:57:084032

首個(gè)可編程光學(xué)量子存儲(chǔ)器

研究人員解釋說:“我們現(xiàn)在開發(fā)了一種可編程光學(xué)緩沖量子存儲(chǔ)器,它可在不同的模式——存儲(chǔ)模式、干涉模式和最終釋放模式之間動(dòng)態(tài)地來回切換。”
2022-10-26 15:20:39453

基于光子神經(jīng)網(wǎng)絡(luò)的超高算力密度硅基集成光子處理器

高算力密度集成光子處理器 此前,人工智能(AI)技術(shù)已在數(shù)據(jù)密集型計(jì)算任務(wù)中得到廣泛應(yīng)用。在后摩爾時(shí)代,為滿足AI算力和能耗的巨大需求,光子神經(jīng)網(wǎng)絡(luò)應(yīng)運(yùn)而生。
2023-02-06 11:11:33378

淺談低損耗硅基片上的可編程處理器

開發(fā)具有大量調(diào)諧元件的大規(guī)模可編程光學(xué)集成電路仍然面臨很大挑戰(zhàn),主要。是:如何降低硅光波導(dǎo)的損耗以實(shí)現(xiàn)大規(guī)模芯片制備,并且如何有效降低由于制造缺陷造成的熱調(diào)元件隨機(jī)相位誤差以實(shí)現(xiàn)低能耗易操作的可編程芯片。
2023-05-10 17:01:09316

卷積神經(jīng)網(wǎng)絡(luò)的應(yīng)用 卷積神經(jīng)網(wǎng)絡(luò)通常用來處理什么

卷積神經(jīng)網(wǎng)絡(luò)的應(yīng)用 卷積神經(jīng)網(wǎng)絡(luò)通常用來處理什么 卷積神經(jīng)網(wǎng)絡(luò)(Convolutional Neural Network,簡(jiǎn)稱CNN)是一種在神經(jīng)網(wǎng)絡(luò)領(lǐng)域內(nèi)廣泛應(yīng)用的神經(jīng)網(wǎng)絡(luò)模型。相較于傳統(tǒng)
2023-08-21 16:41:453484

已全部加載完成