電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發(fā)燒友網>處理器/DSP>兆芯榮獲“張江·康橋杯” 集成電路大賽最佳團隊獎與工匠精神獎

兆芯榮獲“張江·康橋杯” 集成電路大賽最佳團隊獎與工匠精神獎

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

"華強PCB"電子設計大賽評選完畢 公布評分表及獲獎名單

在3位評委加班加點的奮斗下,本屆華強PCB電子設計大賽最后評分階段已經結束,感謝大家的支持與關注,感謝眾位參賽的熱情.首先公布評委打分表:下面公布獲獎參賽者名單及獎品:一等:987654321
2012-03-19 11:02:11

"深聯(lián)華"51單片機設計大賽開始,萬元獎金等你拿

原理圖、PCB文件、設計說明)、視頻演示,無需郵寄實物(2)作品提交統(tǒng)一加上 “深聯(lián)華”安一號51單片機設計大賽作品,后面可以加參賽者名字或者其它說明等等 八、評審標準評審將基于但不限于以下標準:1
2013-10-17 14:24:38

11月18日【高交會】2015中國硬件創(chuàng)新大賽總決賽巔峰對決!

`2015中國硬件創(chuàng)新大賽由華強集團旗下電子元器件在線商城華強城、PCB在線定制平臺華強PCB,星云智能硬件加速器、聯(lián)想之星等多家投資機構強強聯(lián)手聯(lián)合主辦。聯(lián)手十來家國際知名原廠,為參賽者提供從
2015-10-29 23:12:36

2011全國大學生電子設計競賽正式開賽

?! ∪珖髮W生電子設計競賽由教育部高等教育司和工業(yè)和信息化部人教司共同主辦,目的在于推動高等學校促進信息與電子類學科課程體系和課程內容的改革,培養(yǎng)大學生的實踐創(chuàng)新意識與基本能力、團隊協(xié)作的人文精神
2011-08-31 13:57:58

2016年9月,靈動獲得中國創(chuàng)新創(chuàng)業(yè)大賽(上海賽區(qū))企業(yè)組優(yōu)勝

``近日,2016年第五屆中國創(chuàng)新創(chuàng)業(yè)大賽(上海賽區(qū))落下帷幕,上海靈動微電子股份有限公司經過多輪角逐,榮獲上海賽區(qū)企業(yè)組優(yōu)勝并進入全國賽。 中國創(chuàng)新創(chuàng)業(yè)大賽由科技部、教育部、財政部等發(fā)起,由
2016-09-27 11:42:17

2018“匯新”新興科技+互聯(lián)網創(chuàng)新大賽正式啟動

的,創(chuàng)業(yè)需要堅持與機遇,大賽之所以為參賽團隊提供現(xiàn)金獎勵、技術研發(fā)資金支持、資本對接、場地支持、企業(yè)運作支持等,就是想為更多堅持在創(chuàng)新創(chuàng)業(yè)道路上的企業(yè)和團隊,提供更好的落地條件?!薄皡R新”新興科技+互聯(lián)網創(chuàng)新大賽啟動會現(xiàn)場
2018-05-25 21:49:45

2022全國大學生嵌入式競賽應用賽道總決賽圓滿收官

日】2022年(第五屆)全國大學生嵌入式芯片與系統(tǒng)設計競賽應用賽道(后簡稱“大賽”)全國總決賽圓滿閉幕。總決賽在南京集成電路培訓基地(簡稱“NICT”)隆重舉行,吸引全國數(shù)百位參賽學生、組委會領導
2022-08-29 22:24:09

2022年方寸創(chuàng)新應用設計大賽

、設計和銷售。方寸微電子在大規(guī)模集成電路設計、安全密碼算法、核心技術自主可控、大規(guī)模量產及品質管控等綜合能力上具有國內領先的優(yōu)勢,公司將持續(xù)為信創(chuàng)安全、工業(yè)、網絡通信、汽車等重點領域提供完整的芯片級解決方案。 (官方微信)
2022-06-16 18:24:01

全國電子設計大賽

及報名表,都有機會參與評獎)參賽者填寫報名表格(點擊“我要報名”下載),并提交給大賽組委會郵箱 online@chipsea.com參賽方案須包括方案規(guī)格書及電路圖(“第一屆電子設計大賽參作品
2014-01-06 17:47:29

集成電路883與集成電路883b到底有哪些區(qū)別呢

集成電路883與集成電路883b到底有哪些區(qū)別呢?
2021-11-01 07:05:09

集成電路封裝技術專題 通知

研究院(先進電子封裝材料廣東省創(chuàng)新團隊)、上海張江創(chuàng)新學院、深圳集成電路設計產業(yè)化基地管理中心、桂林電子科技大學機電工程學院承辦的 “第二期集成電路封裝技術 (IC Packaging
2016-03-21 10:39:20

集成電路的好壞怎么判斷?

隨著集成電路制造技術的進步,人們已經能制造出電路結構相當復雜、集成度很高、功能各異的集成電路。但是這些高集成度,多功能的集成塊僅是通過數(shù)目有限的引腳完成和外部電路的連接,這就給判定集成電路的好壞帶來不少困難。
2019-08-21 08:19:10

ARM、ST教你玩轉物聯(lián)網 100套開發(fā)板,3萬現(xiàn)金免費送-立即報名!

介紹大賽介紹 華強城官方擬每年舉辦一屆“華強城工程師創(chuàng)新設計大賽”。力助中國的工程師、創(chuàng)業(yè)團隊在電子產業(yè)領域能快速獲得成功!本屆大賽由華強城、電子發(fā)燒友主辦 ,并得到國際知名原廠ARM 與 意法
2017-07-28 19:15:41

CMOS數(shù)字集成電路是什么?CMOS數(shù)字集成電路有什么特點?

CMOS數(shù)字集成電路是什么?CMOS數(shù)字集成電路有什么特點?CMOS數(shù)字集成電路的使用注意事項是什么?
2021-06-22 07:46:35

Fibocom 2022全國大學生物聯(lián)網設計競賽 相關資料

創(chuàng)新南京大學基于車聯(lián)網的新型無人駕駛智慧城市路口設計內網穿透隊周海波姜高翔、裘景一、賁馳四、廣和通榮獲物聯(lián)網大賽最佳支持五、現(xiàn)場活動圖
2022-12-08 16:00:24

OpenHarmony創(chuàng)新賽 | 您有一份創(chuàng)新激勵待領取 請查收!

后在大賽官網公示獲獎團隊。 此外,作品到10月30日之前都可以二次迭代提交 但要確保首次提交的作品版本為完整作品! 作品提交地址 ??https://atomgit.com/?? 參賽隊伍在
2023-09-21 15:32:17

“華邦”移動電源設計大賽獲獎結果揭曉

等作品?! ‖F(xiàn)在就讓我們一起來公布下“華邦”移動電源設計大賽獲獎作者吧  一等: 于和平bsyhp(論壇名稱)  二等: 鄧榮祥dengrongxiang(論壇名稱)  三等: 謝廷銳
2012-05-25 11:57:04

“華邦”移動電源設計大賽問題總結-規(guī)則流程篇

由電子發(fā)燒友網主辦,深圳市華邦科技有限公司贊助,華強PCB支持的“華邦”移動電源設計大賽于5月24日開始報名,眾多電源工程師報名火爆。截至6月1日,短短一星期時間已有360名電源工程師報名參加大賽
2012-06-01 16:55:29

“唐輝電子-愛普生”第三屆中國智能儀器儀表設計大賽正式啟動

、上海瑞濤信息技術有限公司支持媒體《儀表技術》雜志社、《集成電路應用》雜志社、《勞動報》、《文匯報》支持單位上海儀器儀表行業(yè)協(xié)會、上海貝嶺股份有限公司、***陸海電子股份、瑞誠(香港)有限公司三、大賽
2017-06-12 14:12:34

“唐輝電子-愛普生”第三屆中國智能儀器儀表設計大賽正式啟動

、上海瑞濤信息技術有限公司支持媒體《儀表技術》雜志社、《集成電路應用》雜志社、《勞動報》、《文匯報》支持單位上海儀器儀表行業(yè)協(xié)會、上海貝嶺股份有限公司、***陸海電子股份、瑞誠(香港)有限公司三、大賽
2017-08-03 14:19:26

“唐輝電子”第二屆中國智能儀器儀表設計大賽完美收官

的祝賀,對下屆賽事的舉辦寄予了更高的期望。 演講結束后,典禮就迎來了今天的重頭戲——頒獎環(huán)節(jié),本次大賽共有30名參賽者分別斬獲一、二、三等最佳創(chuàng)新、最具產業(yè)化價值以及優(yōu)勝,他們分別表達了獲獎
2017-06-05 16:12:10

大賽報名】150萬現(xiàn)金大獎,第二屆工業(yè)軟件創(chuàng)新應用大賽全國評選最佳實踐案例

案例的工業(yè)企業(yè)也可報名參與。值得注意的是,大賽還設置了150萬元的豐厚現(xiàn)金大獎,其中包括創(chuàng)新應用最佳實踐20名,獎金5萬元,入圍決賽的團隊,入圍即可獲得獎金1萬元。除此以外,獲得創(chuàng)新應用最佳實踐團隊還有
2022-10-19 14:07:52

城年終】挑戰(zhàn)城跳一跳 贏88元現(xiàn)金紅包

`朋友們!中獎名單公布啦!幸運小伙伴在此,恭喜恭喜————————————————每到這個時候大家都會對年終充滿期(huan)待(xiang)最為良心企業(yè)的我們當然不會讓你失望城年終
2018-01-29 11:51:08

【下載】《模擬集成電路設計精粹》—最新模擬電路熱點設計方向

`內容簡介:《清華版雙語教學用書·模擬集成電路設計精粹》作者首先對MOST和BJT兩種器件模型進行了分析和比較,然后以此為兩條線索,分別介紹了相應的基本單元電路和各類放大器的詳細分析,隨后的章節(jié)分別
2017-06-27 18:33:32

【星核計劃】FPGA大賽答疑匯總帖

請與工作人員聯(lián)系。掃碼添加微信三、難題解答:本次大賽由高云官方技術專家作為指導,為各位開發(fā)者解答難題。各位開發(fā)者可以將遇到的問題留言在本帖下方,會有專家為您解答。四、大賽獎項一等(1名)獎金
2021-05-18 10:16:55

【暖心分享】完美世界榮獲“2016年最走心員工關懷

`2016(第七屆)企業(yè)幸福指數(shù)評選頒獎典禮于12月13日在北京香格里拉酒店舉行,完美世界股份有限公司榮獲“2016年最走心員工關懷”。 圖一、完美世界是唯一獲得“2016年最走心員工關懷
2016-12-14 18:17:03

【活動】IDT無線充電應用創(chuàng)新設計大賽,獎品豐厚,等你來報名!

阻擋不了你發(fā)光發(fā)熱 你能獲得什么?1、免費獲得無線充電開發(fā)套件2、獲得豐厚比賽獎金3、積累項目經驗4、充實自己、結識牛人5、增加知名度,讓大家用項目認識你大賽獎項一等一名 獎勵現(xiàn)金12000元二等兩名 獎勵現(xiàn)金5000元三等三名 獎勵現(xiàn)金2500元最佳創(chuàng)意一名獎勵現(xiàn)金2500元 `
2017-07-19 12:00:27

【硅谷行】第二屆智能硬件36小時開發(fā)大賽

分別提供價值4000元和2000元的服務,提供第三名和最佳創(chuàng)意價值1000元的服務)*所有進入決賽團隊均可以獲得機智云創(chuàng)業(yè)云資源、技術支持、合作伙伴場地入駐及投資資源等。官方唯一指定云平臺:機智云大賽
2016-12-12 20:36:44

一博科技榮獲Cadence用戶大會杰出論文

`熱烈慶祝一博科技榮獲Cadence2013用戶大會杰出論文!EDA業(yè)內矚目的年度活動Cadence用戶大會CDNLive于2013年9月12日于北京香格里拉酒店召開。此會議集聚中國產業(yè)鏈高階主管
2013-09-24 09:07:09

一博科技科技榮獲“EDN China 2013創(chuàng)新工程師

`熱烈慶祝一博科技設計團隊榮獲“EDN China 2013年度創(chuàng)新工程師”!2013年(第八屆)EDN China創(chuàng)新大會頒獎典禮于11月12日在上海浦東Holiday Inn酒店舉辦,本屆
2013-11-21 17:51:10

上海華嶺集成電路技術有限責任公司

上海華嶺集成電路技術有限責任公司位于張江高科技園區(qū),專業(yè)從事集成電路技術研究、測試軟件開發(fā)和設計驗證分析。   公司是上海市高新技術企業(yè)、國家四部委認定的“第一批國家鼓勵的集成電路企業(yè)”。公司先后
2009-05-11 10:12:12

豐厚獎金,邀你來戰(zhàn)!2016年第三屆物聯(lián)網感智創(chuàng)新大賽

,獎金5千元+證書  最具人文關懷2個,獎金5千元+證書 (三)優(yōu)秀  大賽優(yōu)秀若干,此類獎項不設獎金,統(tǒng)一頒發(fā)證書。(四)組織  此類獎項由“大賽組委會”推選,將授予積極參與大賽的單位。設最佳
2016-08-12 14:01:22

為了更好施展您的技能

的設計,讓我們翹首以待動手達人的出現(xiàn)! 大賽宗旨為了使廣大工程師會員能全面了解和認識華邦公司及華邦電源芯片的優(yōu)勢為電子工程師與業(yè)界專家及廠商進行探討交流的機會,提高對技術知識的應用和產品商業(yè)化的認知,為此:特舉辦“華邦”移動電源電源設計大賽。旨在充分發(fā)揮電源工程師的創(chuàng)新精神與移動電源的高效設計。
2012-10-10 15:45:18

什么是集成電路?

什么是集成電路?
2021-06-18 09:07:45

什么是厚膜集成電路?厚膜集成電路有哪些特點和應用?

什么是厚膜集成電路?厚膜集成電路有哪些特點和應用?厚膜集成電路的主要工藝有哪些?厚膜是什么?厚膜材料有哪幾種?
2021-06-08 07:07:56

佑澤設計大賽獲獎作品

`第五屆佑澤設計大賽部分獲獎作品,特等獎獲得者(1名)一等(1名),二等(2名),三等(3名),優(yōu)秀(10名),入圍(20名),第六屆佑澤設計大賽火熱進行中。第六屆佑澤設計大賽設立
2016-07-26 14:43:02

促進改善傳統(tǒng)電子產業(yè)鏈,華秋電子榮獲第六屆“高新”十大高成長企業(yè)

競爭力的企業(yè)不斷涌現(xiàn),為積累社會財富、創(chuàng)造就業(yè)崗位、促進經濟社會發(fā)展、增強綜合國力作出了重要貢獻。華秋電子領獎代表(左5)經過高新組委會的嚴格評選,華秋電子榮獲第六屆“高新”十大高成長企業(yè),在電子
2023-03-03 11:58:42

全國“DZR電子人”單片機設計大賽火熱開始報名

單片機設計大賽,以此來培養(yǎng)大學生的實踐創(chuàng)新意識與基本能力、團隊協(xié)作的人文精神和理論聯(lián)系實際的學風;有助于學生工程實踐素質的培養(yǎng)、提高學生針對實際問題進行電子設計制作的能力;有助于吸引、鼓勵廣大青年
2011-08-02 10:51:14

全國電子大賽二等作品——正弦信號發(fā)生器的設計與制作

全國電子大賽二等作品——正弦信號發(fā)生器的設計與制作
2012-08-01 01:00:06

全國電子大賽二等作品——正弦信號發(fā)生器的設計與制作

全國電子大賽二等作品——正弦信號發(fā)生器的設計與制作
2012-08-03 01:20:24

全國電子設計大賽一等論文

本帖最后由 eehome 于 2013-1-5 10:07 編輯 全國電子設計大賽一等論文
2012-08-16 15:57:24

共話人才培養(yǎng)和科技創(chuàng)新,上海集成電路產教融合大會圓滿落幕!

產學合作教育協(xié)會指導。 l上海市集成電路行業(yè)協(xié)會、上海張江高科技園區(qū)開發(fā)股份有限公司、上海復醒網絡科技有限公司(大同學吧)聯(lián)合主辦。 l上海張江管理中心、上海復創(chuàng)半導體有限公司、復旦科技園進修
2023-04-28 17:48:10

關于TTL集成電路與CMOS集成電路看完你就懂了

關于TTL集成電路與CMOS集成電路看完你就懂了
2021-09-28 09:06:34

助力硬件創(chuàng)新,華秋電子榮獲科技創(chuàng)新示范

2023年2月,望城經濟技術開發(fā)區(qū)管理委員會印發(fā) 《關于聚力“拼經濟 抓發(fā)展”助力園區(qū)高質量發(fā)展的評先評優(yōu)實施辦法》 的通知。為深入貫徹黨的二十大精神,認真落實中央、省委、市委和區(qū)委經濟工作會議精神
2023-02-27 14:20:51

華秋榮獲億邦動力2023產業(yè)互聯(lián)網千峰

喜訊! 繼華秋榮獲2023中國產業(yè)數(shù)字化百強榜企業(yè) 2023深圳行業(yè)領袖企業(yè)100強后 華秋再次榮獲億邦動力2023產業(yè)互****聯(lián)網“千峰·數(shù)字供應鏈 12月1日晚,在2023億邦產業(yè)互聯(lián)網
2023-12-15 09:53:36

華秋DFM榮獲第三屆智能制造創(chuàng)新大賽-新技術應用賽道三等

近日,第三屆智能制造創(chuàng)新大賽頒獎儀式在2023世界智能制造大會開幕式上隆重舉行。華秋DFM_PCB可制造性設計分析軟件憑借其出色的技術創(chuàng)新能力和在智能制造領域的卓越表現(xiàn),榮獲了 新技術應用賽道
2023-12-15 10:33:29

華秋DFM榮獲第三屆智能制造創(chuàng)新大賽全國總決賽-新技術應用賽道三等

近日,第三屆智能制造創(chuàng)新大賽頒獎儀式在2023世界智能制造大會開幕式上隆重舉行。華秋DFM_PCB可制造性設計分析軟件憑借其出色的技術創(chuàng)新能力和在智能制造領域的卓越表現(xiàn),榮獲了 新技術應用賽道
2023-12-15 10:36:23

華秋電子榮獲第六屆“藍點”分銷商

,旨在表彰對電子信息產業(yè)創(chuàng)新發(fā)展做出的重要貢獻的優(yōu)秀企業(yè),樹立新時代行業(yè)標桿,深挖企業(yè)的“工匠精神。經過申報受理、專家評審、網絡投票、實地走訪、組委會綜合評議等程序,第六屆“藍點”最終評選出最具投資
2023-02-27 15:11:40

卓越的創(chuàng)新團隊開始承接項目了?。。。?!

過國內以及國際電子類賽事的重要獎項,有著豐富的電子設計經驗,并且在多所國家重點實驗室學習研究。成員獲得獎項:(1)大學生電子設計競賽:最高瑞薩及一等(2)2014年英特爾嵌入式系統(tǒng)專題邀請賽
2014-09-17 17:21:50

喜訊!華秋電子榮獲深圳市半導體行業(yè)協(xié)會優(yōu)秀合作

2023年3月31日,由深圳市半導體行業(yè)協(xié)會主辦的深圳市集成電路產業(yè)總結大會暨深圳市半導體行業(yè)協(xié)會第七屆第三次會員大會活動,在深圳順利召開。本次大會是深圳半導體與集成電路行業(yè)的年度盛會,會上將邀請
2023-04-03 15:28:32

喜訊!華秋電子榮獲第六屆“藍點”十佳分銷商

,旨在表彰對電子信息產業(yè)創(chuàng)新發(fā)展做出的重要貢獻的優(yōu)秀企業(yè),樹立新時代行業(yè)標桿,深挖企業(yè)的“工匠精神。經過申報受理、專家評審、網絡投票、實地走訪、組委會綜合評議等程序,第六屆“藍點”最終評選出最具投資
2023-02-27 14:52:41

喜訊!華秋電子榮獲第六屆“高新”十大優(yōu)秀企業(yè)

競爭力的企業(yè)不斷涌現(xiàn),為積累社會財富、創(chuàng)造就業(yè)崗位、促進經濟社會發(fā)展、增強綜合國力作出了重要貢獻。華秋電子領獎代表(左5)經過高新組委會的嚴格評選,華秋電子榮獲第六屆“高新”十大高成長企業(yè),在電子
2023-03-03 11:57:00

四川回收集成電路 收購集成電路

足不出戶,庫存不再積壓,我們資金雄厚、現(xiàn)金回收、誠實可靠、安全放心、速度快、效率高,給你百分百滿意,是貴公司(您)選擇的最佳合作伙伴。主要電子元件回收,電子料,手機電腦平板配件收購:●●回收電子IC,回收集成電路
2021-12-25 17:48:02

國外名校最新教材精選:模擬CMOS集成電路設計PDF分享

,1998年IEEE定制集成電路會議很好論文。他是《數(shù)據(jù)轉換系統(tǒng)設計原理》(IEEE出版,1995)和《RF微電子學》(PrenticeHall出版,1998)的作者,以及《單片鎖相環(huán)和時鐘恢復電路
2017-12-20 17:57:10

圖像傳感器、數(shù)碼電影攝制和奧斯卡

字文件以進行編輯和后期制作,再到使用一臺數(shù)字電影攝像機的全數(shù)字化工作流程。所有這些選擇都說明這些榮獲奧斯卡最佳攝影最佳藝術家都選擇相同的系統(tǒng)來拍攝他們的獲獎影片。在過去的五年中,獲此獎項的每一部電影
2018-10-23 09:07:09

基于瑞薩獨有的SOTB?制程工藝的MCU榮獲2019年度MCU產品

的能量收集嵌入式微控制器(MCU)RE榮獲由全球電子技術領域知名媒體集團Aspencore評選出的2019年度MCU產品。該獎項此次共收到來自行業(yè)內知名半導體供應商的100多款候選產品,通過Aspencore編輯的評估,挑選出10多款產品入圍,最終RE脫穎而出,獲得該產品。
2020-10-22 16:47:48

大學生電器開發(fā)部簡介

”分別獲得了省級二等和三等;在首屆河南省“挑戰(zhàn)”中分別獲得了河南賽區(qū)二等(1項),三等(1項);在2001年的全國大學生電子設計大賽中獲得了賽區(qū)二等和三等;在2003的大賽中,分別獲得了
2013-11-11 22:11:07

安森美半導體獲物聯(lián)網演進年度產品

、工業(yè)自動化和移動醫(yī)療。它為工程師提供了一種結合先進的集成電路(IC)技術與精密的軟件框架的開發(fā)資源,從而大大有助于“設備到云”的物聯(lián)網部署,加速原型開發(fā)和縮短上市周期。關于IDK的更多信息,請閱讀
2018-10-29 08:51:36

恭喜武漢榮獲2022年度硬核中國兩項大獎!

活動,旨在挖掘、表彰優(yōu)秀中國企業(yè)?!坝埠酥袊?b class="flag-6" style="color: red">芯”自2019年創(chuàng)辦,迄今為止已成功舉辦三屆,累積吸引50萬名專業(yè)讀者關注,在中國集成電路行業(yè)內獲得廣泛認可。2022年度硬核中國評選歷時5個多月,由
2022-11-21 17:21:48

抽不了

1、在活動頁面,下載資料參與轉盤抽獎活動頁面:http://www.ttokpm.com/company/heilind201702/參加之后 點擊沒反應 抽不了
2017-03-11 19:58:22

推動中國電子原創(chuàng)設計 2014電子設計大賽揭幕

了能夠精確電量顯示的CSU8RP3429移動電源IC解決方案,為參賽選手的創(chuàng)意提供支撐。??萍汲闪⒂?003年,是一家專業(yè)從事混合信號集成電路設計的高新技術企業(yè),是首批認定的國家級高新技術企業(yè),也是
2014-01-15 11:09:59

智能硬件技術研討會暨首屆電子設計大賽頒獎典禮...

深圳市??萍加邢薰尽?014海智能硬件技術研討會暨首屆電子設計大賽頒獎典禮”于4月12日深圳會展中心9號館五樓玫瑰廳-3如約而至并取得圓滿成功。研討會精彩看點:1、 ??萍糃EO盧國建
2014-04-24 10:38:40

有獎征集——第七屆“北斗”全國青少年科技創(chuàng)新大賽(中南區(qū))

`北斗遨翔·創(chuàng)新應用·智慧未來第七屆“北斗”全國青少年科技創(chuàng)新大賽(中南區(qū))作品火熱征集中 “青少年是祖國的未來,科學的希望!······要在國際衛(wèi)星導航領域中牢牢占有一席之地,青少年更是關鍵
2015-12-11 16:42:26

機智云智能家電運營管理服務平臺獲艾普蘭智能創(chuàng)新

評審后,機智云與美的、海爾、華為、美菱等企業(yè)一同成功入圍,最終機智云智能家電運營管理服務平臺榮獲2017年度中國家電艾普蘭“智能創(chuàng)新”。機智云智能家電運營管理服務平臺機智云4.0智能家電運營管理
2017-03-13 18:30:00

模擬集成電路測試有什么技巧?

隨著集成電路制造技術的進步,人們已經能制造出電路結構相當復雜、集成度很高、功能各異的集成電路。但是這些高集成度,多功能的集成塊僅是通過數(shù)目有限的引腳完成和外部電路的連接,這就給判定集成電路的好壞帶來不少困難。
2019-08-20 08:14:59

求2011全國電子設計大賽國家一等A題電路圖或仿真圖

求2011全國電子設計大賽國家一等A題電路圖或仿真圖,還有具體元器件,希望得到大家的幫助。謝謝。
2013-07-25 17:10:04

潤和軟件榮獲華為開發(fā)者聯(lián)盟 2022年度最佳生態(tài)服務商

,江蘇潤和軟件股份有限公司(以下簡稱“潤和軟件”)受邀參會,并在華為終端云開發(fā)者聯(lián)盟舉辦的“一站式開發(fā)者服務及開放能力”分論壇上榮獲“華為開發(fā)者聯(lián)盟 2022年度最佳生態(tài)服務商”。在本次大會上,潤
2022-11-09 10:24:44

潤和軟件助力深圳集成電路應用開發(fā)職業(yè)技能競賽圓滿收官

9月24日-25日,深圳市第十二屆職工技術創(chuàng)新運動會暨2022年深圳技能大賽 —— 集成電路應用開發(fā)職業(yè)技能競賽在深圳市光明區(qū)華強科技生態(tài)園順利舉行,獲得人社局領導的高度評價。潤和軟件作為本次競賽
2022-10-17 18:18:49

深圳比亞迪微電子有限公司榮獲“2016年度十大大中華IC設計公司品牌”

` 2016年度大中華IC設計成就頒獎典禮于2016年3月14日在上海國豐大酒店隆重舉行,深圳比亞迪微電子有限公司憑借在電子行業(yè)深厚的技術積淀和優(yōu)秀的產品設計榮獲“2016年度十大大中華IC
2016-03-22 10:53:36

深聯(lián)華單片機應用設計大賽開賽了,萬元大獎等你拿!

活動引言: 深圳聯(lián)華集成電路有限公司一直專注于程序防護產品研發(fā)與生產,以“捍衛(wèi)知識產權的合法權益”為使命,致力于“防破解,堵漏洞”的MCU研發(fā)設計,為保障知識產權所有人的合法權益提供切實可行的防護
2013-09-26 19:02:49

湖北理工電協(xié)簡介

、科技作品展覽、各大大學生電子設計賽事。協(xié)會榮獲榮譽(截止2012年九月份)2006 年“挑戰(zhàn)大賽中,獲湖北賽區(qū)三等;2007年全國電子技術大賽中,奪得湖北賽區(qū)二等;2008年協(xié)會獲得黃石理工學院
2013-11-05 18:04:43

靈動微電子榮獲2014-2016年度浦東新區(qū)集成電路設計亮點企業(yè)

`2016年9月27日,2014-2016年度浦東新區(qū)集成電路設計亮點企業(yè)評選工作總結會議在張江長榮桂冠酒店舉行,會議公布了獲獎企業(yè)名單并舉行了授牌儀式。包括展訊通信(上海)有限公司在內的15家企業(yè)獲此殊榮,上海靈動微電子股份有限公司獲潛力型亮點企業(yè)稱號。`
2016-09-28 11:12:14

靈動微電子榮獲“CITE2018集成電路MCU產品創(chuàng)新”,六大新品閃耀全場

,觀展人數(shù)超過16萬人次。 MM32 MCU產品榮獲“CITE 2018集成電路MCU產品創(chuàng)新”在此次博覽會上靈動的MM32系列MCU產品從參展的眾多產品中脫穎而出,榮獲了“CITE2018集成電路
2018-04-13 10:38:14

熱烈祝貨新鄉(xiāng)學院電子創(chuàng)新工作室成員獲得全國機器人大賽ROBTAC二等

`熱烈祝貨新鄉(xiāng)學院電子創(chuàng)新工作室成員獲得全國機器人大賽ROBTAC二等`
2015-07-14 22:53:51

熱烈祝賀電子設計大賽開賽及發(fā)燒友論壇專區(qū)開通!

祝賀“”電子設計大賽 發(fā)燒友專區(qū) 正式開通!{:4_95:}同時預?!?b class="flag-6" style="color: red">芯海”電子設計大賽圓滿成功??!{:4_110:}祝論壇的發(fā)燒友們多多參加活動,勇奪大獎!馬年收獲多多??!{:4_103:}
2014-01-03 17:20:43

電子發(fā)燒友“獨具匠”PCB設計大賽,您的才華值得獎賞!

內容*60%+作品完整度*40%獎項設置:最佳“獨具匠”設計獎1名:300元京東卡+華秋城50元代金券優(yōu)秀作品2名:100元京東卡+發(fā)燒友學院30元代金券參與若干名:(每個作品獲得獎項不可疊加,法律允許范圍內,本次活動未盡事宜和最終解釋權歸電子發(fā)燒友所有。)`
2019-09-11 14:26:18

電子科技協(xié)會發(fā)展史

湖南省挑戰(zhàn)大賽榮獲二等。 2012年協(xié)會再創(chuàng)佳績,在協(xié)會指導老師胡洪波的指導下,由會長黎先群、技術部長胡杰等帶領的團隊在全國大學生“博創(chuàng)-恩智浦”嵌入式大賽中獲得國家三等,在湖南省“TI
2013-11-12 21:40:25

第二屆“火”平臺優(yōu)秀國產IC產品評選暨粵港澳大灣區(qū)集成電路創(chuàng)新創(chuàng)業(yè)大賽觀眾報名

大賽簡介:國家“火”深圳雙創(chuàng)基地(平臺)(簡稱深圳火平臺)由深圳微納研究院聯(lián)合深圳IC基地聯(lián)合建設,立足粵港澳大灣區(qū)輻射整個華南地區(qū),定位集成電路領域的國產自主創(chuàng)新和生態(tài)建設,開展芯片、算法
2021-09-07 15:54:58

第二屆“全志”微創(chuàng)客挑戰(zhàn)賽圓滿落幕,比派科技 Banana Pi作為官方合作伙伴全程參與

珠海鉑爾曼酒店巴黎宴會廳,第二屆“全志”微創(chuàng)客挑戰(zhàn)賽冠軍爭奪賽正式開幕??吹?2支隊伍精神抖擻的模樣,小志也很開森??! Banana pi BPI-M2 Ultra 開源硬件平臺作為比賽官方指定參賽
2017-04-20 10:19:41

第八屆全國信息技術應用水品大賽

`今年只有團體賽哦,準備報STC,不定期更新,希望大家多多捧場。論壇里有學生參加嗎?可以互相交流一下。第八屆全國信息技術應用水平大賽比賽說明(比賽科目: “STC”單片機系統(tǒng)設計大賽) 一,指導
2013-07-07 10:45:38

羅姆超低功耗降壓型電源IC榮獲中國IoT杰出技術創(chuàng)新!

近日,羅姆憑借超低功耗降壓型電源IC“BD70522GUL”榮獲中國IoT杰出技術創(chuàng)新!新產品“BD70522GUL”是以IoT市場的關鍵詞“紐扣電池10年驅動”為目標開發(fā)而成的超低功耗降壓型電源
2019-07-11 04:20:24

請問集成電路的測試有什么技巧?

如何判定集成電路的好壞?集成電路的測試有什么技巧?
2021-04-14 06:51:19

啦??!恭喜第100個報名參賽的團隊

` 本帖最后由 wangjiamin2014 于 2014-9-12 14:23 編輯 恭喜第100個報名的幸運團隊——思維團隊將獲得iLuv 愛樂福 Syren 支持NFC的無線藍牙便攜音箱一
2014-09-12 14:20:04

錐子手機發(fā)布會羅永浩提到的安森美半導體

(I/O)及數(shù)據(jù)保護  線路驅動器及信號放大器  LCD背光驅動器  EEPROM存儲器  智能卡接口  微控制器汽車  應用于汽車的產品:  混合信號專用集成電路(ASIC)  網絡收發(fā)器  低壓降
2014-05-21 10:13:17

閃耀“中國” 華大北斗榮獲2023年“中國”優(yōu)秀技術創(chuàng)新產品

2023年9月20日,由工信部中國電子信息產業(yè)發(fā)展研究院、珠海市人民政府以及橫琴粵澳深度合作區(qū)執(zhí)行委員會共同主辦的2023琴珠澳集成電路產業(yè)促進峰會暨第十八屆“中國”頒獎儀式在珠海
2023-09-22 14:46:30

首屆“唐輝電子”中國智能儀器儀表設計大賽完美落幕,第二屆大賽正式開始,一年一屆,歡迎參加!

`2016年5月18日首屆“唐輝電子”中國智能儀器儀表設計大賽頒獎儀式在上海大學舉行。隨著頒獎儀式的結束,首屆“唐輝電子”中國智能儀器儀表設計大賽也完美落幕。本次頒獎儀式嘉賓有:上海儀器儀表
2016-05-20 09:31:02

快速發(fā)展的華為有哪些工匠精神

工匠精神是一種職業(yè)精神,它是職業(yè)道德、職業(yè)能力、職業(yè)品質的體現(xiàn),是從業(yè)者的一種職業(yè)價值取向和行為表現(xiàn)。 在手機圈內工匠精神一詞成為衡量一款產品是否具有優(yōu)良的設計、是否具有創(chuàng)新的重要標準,雖然很多企業(yè)
2017-11-23 16:46:531080

長江存儲與第二屆全國大學生集成電路創(chuàng)新創(chuàng)業(yè)大賽達成合作

為目標,打造產學研用協(xié)同創(chuàng)新平臺,將行業(yè)發(fā)展需求融入教學過程,提升在校大學生創(chuàng)新實踐能力、工程素質以及團隊協(xié)作精神,助力我國集成電路產業(yè)健康快速發(fā)展。
2018-08-01 15:30:595024

張江科學城集成電路營收894.49億元占全國13.7%

數(shù)據(jù)顯示,2018年中國集成電路產業(yè)實現(xiàn)銷售6532億元。其中,上海集成電路產業(yè)實現(xiàn)銷售1450億元,占全國總比五分之一;浦東新區(qū)集成電路產業(yè)實現(xiàn)銷售收入1066億元,占全國比重超16%;張江科學城集成電路產業(yè)實現(xiàn)銷售894.49億元,占全國比重超13.7%。
2019-08-05 16:43:174534

集成電路將在中國迎來新一輪的發(fā)展高潮

為了助力長三角地區(qū)集成電路企業(yè)吸引人才,2020張江康橋大賽組委會與天翼科技創(chuàng)業(yè)投資有限公司聯(lián)合啟動首次核芯人才計劃活動。本次活動得到上海交通大學大力支持,由交大科研院,產研院(雙創(chuàng)推進辦)以及
2020-09-26 09:26:051174

2020“張江康橋杯”長三角集成電路技能大賽圓滿落幕

9月13號,2020張江康橋杯長三角集成電路技能大賽圓滿落幕。本次大賽歷時三個月,深入集成電路全產業(yè)鏈,集校企合作交流(核芯人才計劃)、RISC-V技術應用、產業(yè)交流沙龍等創(chuàng)新賽事活動為一體,為參賽
2020-09-26 09:49:592681

張江康橋杯”機器人大賽頒獎儀式舉行

張江康橋杯 機器人大賽 智慧協(xié)作、智慧生活 10月18日,建功新時代,當好領頭羊2020上海市張江康橋杯機器人大賽頒獎儀式暨高峰論壇在張江康橋集團舉行。 上海市總工會、浦東新區(qū)總工會、浦東新區(qū)科經委
2020-10-21 17:31:171841

2021“張江康橋杯”|匯聚明日之星,走近浦東芯片設計生態(tài)鏈

2021年7月2日,第三屆“張江康橋杯”長三角集成電路技能大賽核“芯”人才交流日活動順利舉辦。
2021-07-28 18:23:51890

上海貝嶺2支隊伍榮獲“國產EDA實戰(zhàn)賽一等獎”

2021“張江康橋杯”長三角集成電路技能大賽 國產EDA實戰(zhàn)賽一等獎 9月5日下午,由上海市總工會指導,上海浦東新區(qū)總工會、上海浦東新區(qū)科技和經濟委員會等多家單位共同主辦的2021“張江康橋
2021-09-23 11:34:405130

8月頂峰相見 第二屆集成電路“太湖之芯”創(chuàng)業(yè)大賽初賽上海賽區(qū)順利收官

為進一步整合優(yōu)質資源、匯聚創(chuàng)新力量,助力國內集成電路創(chuàng)業(yè)企業(yè)全面提升技術研發(fā)、市場開拓能力,打造新時代集成電路人才集聚高地。長三角粵港澳大灣區(qū)第二屆集成電路“太湖之芯”創(chuàng)業(yè)大賽(以下簡稱“大賽
2023-07-31 11:22:45574

長三角-粵港澳大灣區(qū)第二屆集成電路“太湖之芯”創(chuàng)業(yè)大賽,圓滿收官!

8月19日,長三角-粵港澳大灣區(qū)第二屆集成電路“太湖之芯”創(chuàng)業(yè)大賽總決賽,于無錫太湖華邑酒店圓滿收官。經過西安、深圳、上海三大初賽區(qū)的層層選拔,共計60個路演項目成功晉級總決賽。最終,西安
2023-08-24 13:34:57352

見合八方榮獲“創(chuàng)業(yè)之芯”全國集成電路總決賽特等獎

在第五屆中國全國集成電路“創(chuàng)業(yè)之芯”大賽中,天津見合八方光電科技有限公司“SOA半導體光放大器”項目榮獲全國集成電路總決賽“天使組”特等獎。
2023-11-24 15:44:37358

已全部加載完成