電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>用VHDL語言在CPLD上實(shí)現(xiàn)串行通信

用VHDL語言在CPLD上實(shí)現(xiàn)串行通信

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

基于CPLDVHDL的智能撥號報警系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

本文采用CPLD控制核心實(shí)現(xiàn)了智能報警系統(tǒng)。由于利用EDA技術(shù)進(jìn)行系統(tǒng)設(shè)計(jì),外圍器件少、體積小、功耗低、可靠性高。通過修改VHDL源程序就可以增加一些新的功能,提高了設(shè)計(jì)的靈活性,具有較好的應(yīng)用前景。
2013-02-20 14:34:214394

VHDL語言在EDA仿真中的應(yīng)用

EDA技術(shù)徹底改變了數(shù)字系統(tǒng)的設(shè)計(jì)方法和實(shí)現(xiàn)手段,借助于硬件描述語言的國際標(biāo)準(zhǔn)VHDL 和強(qiáng)大的EDA工具,可減少設(shè)計(jì)風(fēng)險并縮短周期,隨著VHDL語言使用范圍的日益擴(kuò)大
2011-04-11 11:34:471842

CPLD通信數(shù)據(jù)傳輸中的應(yīng)用是什么?

CPLD程序如何去實(shí)現(xiàn)?CPLD通信數(shù)據(jù)傳輸中的應(yīng)用是什么?
2021-05-25 06:53:01

CPLD系統(tǒng)設(shè)計(jì)及VHDL語言的視頻教程

各位好,請問哪里有免費(fèi)下載的 CPLD系統(tǒng)設(shè)計(jì)及VHDL語言的視頻教程?是天祥的。淘寶里有好多賣的,可是要淘寶帳戶和錢呀?
2008-07-20 10:29:10

VHDL怎么實(shí)現(xiàn)減法運(yùn)算?

請教大家怎么VHDL語言實(shí)現(xiàn)減法運(yùn)算?FPGA設(shè)計(jì)時又該怎么操作呢?
2012-05-17 20:07:12

cpld 12864

請教大神~~~有誰vhdl語言寫過基于cpld不帶字庫的12864顯示字幕流動的程序嗎???急求
2014-05-21 16:20:22

cpld與8051的總線接口vhdl設(shè)計(jì)源碼

cpld與8051的總線接口vhdl設(shè)計(jì)源碼cpld 與8051的總線接口VHDL源碼關(guān)于cpldbus51.VHD的說明: 很久之前我也想在網(wǎng)上找一份cpld與8051的總線方式接口的VHD源碼
2012-08-10 18:56:47

cpld與flash配置fpga

vhdl實(shí)現(xiàn)cpld配置fpga,配置成功后usermode下設(shè)置一個重新配置信號,當(dāng)信號有效時對fpga進(jìn)行重新配置;fpga配置程序放在flash內(nèi);現(xiàn)在遇到的問題是,cpld能夠正常配置fpga并且進(jìn)入usermode ,但是加上重新配置語句過后就不能成功配置fpga,求高人指點(diǎn)~
2013-01-17 22:35:39

vhdl是什么

超高速集成電路硬件描述語言,主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。它在中國的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計(jì)中。當(dāng)然一些實(shí)力較為雄厚的單位,它也被用來設(shè)計(jì)ASIC。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)
2015-09-30 13:48:29

QuartusⅡ開發(fā)環(huán)境下怎么VHDL語言設(shè)計(jì)可變模功能的計(jì)數(shù)器?

QuartusⅡ開發(fā)環(huán)境下,VHDL語言設(shè)計(jì)了一種具有清零、置數(shù)、使能控制、可逆計(jì)數(shù)和可變模功能的計(jì)數(shù)器。
2021-04-30 06:44:46

VHDL語言對FPGA 和CPLD器件進(jìn)行開發(fā)時需要注意什么?

VHDL 語言設(shè)計(jì)電路是利用硬件描述的方法,將系統(tǒng)功能通過目標(biāo)器件表現(xiàn)出來,而目標(biāo)器件的資源占用率是設(shè)計(jì)成功與否的關(guān)鍵。
2019-10-28 07:31:04

FPGA/CPLD設(shè)計(jì)UART

),有時我們不需要使用完整的UART的功能和這些輔助功能。或者設(shè)計(jì)用到了FPGA/CPLD器件,那么我們就可以將所需要的UART功能集成到FPGA內(nèi)部。使用VHDL將UART的核心功能集成,從而使整個設(shè)計(jì)更加緊湊、穩(wěn)定且可靠。本文應(yīng)用EDA技術(shù),基于FPGA/CPLD器件設(shè)計(jì)與實(shí)現(xiàn)UART。
2012-05-23 19:37:24

ALTERA FPGA/CPLD高配學(xué)習(xí)指南:入門和高級篇,教程十講全集

大家熟悉CPLD/FPGA 開發(fā)中一個關(guān)鍵的技術(shù)——狀態(tài)機(jī),并且簡單介紹了一下RTL 視圖的使用。第三講:加/減計(jì)數(shù)器例程,講解了計(jì)數(shù)器的VHDL 語言的設(shè)計(jì)過程,以及硬件下載的方法,并且可以通過
2020-05-14 14:50:30

FPGA畢業(yè)論文選題大全

)設(shè)計(jì)交通燈控制電路  基于VHDL建模實(shí)現(xiàn)FSK的調(diào)制與解調(diào)  數(shù)字鎖相環(huán)法位同步信號  VHDL實(shí)現(xiàn)搶答器設(shè)計(jì)  基于單片機(jī)和CPLD接收GPS信號的顯示系統(tǒng)  基于單片機(jī)和CPLD實(shí)現(xiàn)的GPS
2012-02-10 10:40:31

IIC總線通訊接口器件的CPLD實(shí)現(xiàn)

IIC總線通訊接口器件的CPLD實(shí)現(xiàn)摘要:介紹了采用ALTERA公司的可編程器件EPF10K10LC84-3實(shí)現(xiàn)IIC總線的通訊接口的基本原理,并給出了部分的VHDL語言描述。該通訊接口與專用的接口芯片相比,具有使用靈活、系統(tǒng)配置方便的特點(diǎn)。 [/hide]
2009-10-30 14:57:35

[下載]天祥 十天學(xué)會CPLD FPGA VHDL視頻教程(3.12G完整版)

,讓大家熟悉CPLD/FPGA開發(fā)中一個關(guān)鍵的技術(shù)——狀態(tài)機(jī),并且簡單介紹了一下RTL視圖的使用。 第三講:加/減計(jì)數(shù)器例程,講解了計(jì)數(shù)器的VHDL語言的設(shè)計(jì)過程,以及硬件下載的方法
2009-03-26 16:38:29

分享一款不錯的基于VHDL的異步串行通信電路設(shè)計(jì)

求一款基于VHDL的異步串行通信電路設(shè)計(jì)分享
2021-04-08 06:16:42

基于CPLD和FPGA的VHDL語言電路優(yōu)化設(shè)計(jì)

,一般情況下,速度指標(biāo)是首要的,滿足速度要求的前提下,盡可能實(shí)現(xiàn)面積優(yōu)化。因此,本文結(jié)合在設(shè)計(jì)超聲探傷數(shù)據(jù)采集卡過程中的CPLD編程經(jīng)驗(yàn),提出串行設(shè)計(jì)、防止不必要鎖存器的產(chǎn)生、使用狀態(tài)機(jī)簡化電路描述、資源共享,利用E2PROM芯片節(jié)省片內(nèi)資源等方法對VHDL電路進(jìn)行優(yōu)化。
2019-06-18 07:45:03

基于CPLD的4*4矩陣鍵盤設(shè)計(jì)

基于CPLD的4*4矩陣鍵盤電路設(shè)計(jì),的是VHDL語言
2012-11-24 19:47:18

基于FPGA的圖像邊緣檢測系統(tǒng)設(shè)計(jì),VHDL語言實(shí)現(xiàn)該怎么做?

不知道有沒有大神做過:基于FPGA的圖像邊緣檢測系統(tǒng)設(shè)計(jì),VHDL語言實(shí)現(xiàn)
2018-05-10 00:22:07

天祥 十天學(xué)會CPLD FPGA VHDL視頻教程(3.12G完整版)

自從天祥電子推出40小時的“十天學(xué)會單片機(jī)和C語言編程”視頻教程后,受到了廣大電子愛好者的好評,并希望我們能夠趕緊推出“CPLD器件及VHDL程序設(shè)計(jì)”的視頻教程,為了能夠滿足大家的要求,提供更多
2009-02-07 11:34:24

天祥十天學(xué)會CPLD/FPGA 系統(tǒng)設(shè)計(jì)全集

的仿真,RTL 視圖查看,管腳的分配,硬件的配置等。整個過程全部VHDL 語言講解,從VHDL 語言庫的調(diào)用,實(shí)體的描述,結(jié)構(gòu)體的描述,進(jìn)程和函數(shù)的應(yīng)用。一步一步的講解設(shè)計(jì)過程中的每個語句和注意事項(xiàng)
2012-09-29 21:32:44

如何實(shí)現(xiàn)單片機(jī)與CPLD通訊呢?

單片機(jī)為P89V51RD2,CPLD為ATF1508AS,現(xiàn)在要實(shí)現(xiàn)單片機(jī)與CPLD的通訊,如何實(shí)現(xiàn)?希望能講清原理和VHDL語言實(shí)現(xiàn),謝謝
2023-04-23 14:22:38

如何利用VHDL語言實(shí)現(xiàn)FPGA與單片機(jī)的串口異步通信電路?

本文介紹利用VHDL語言實(shí)現(xiàn) FPGA與單片機(jī)的串口異步通信電路。
2021-04-29 06:34:57

如何用VHDL語言實(shí)現(xiàn)幀同步的設(shè)計(jì)?

幀同步是什么工作原理?如何用VHDL語言實(shí)現(xiàn)幀同步的設(shè)計(jì)?
2021-04-08 06:33:59

如何用VHDL語言實(shí)現(xiàn)該電路圖邏輯關(guān)系

關(guān)于QuartusⅡ軟件實(shí)現(xiàn)編程調(diào)試,VHDL語言描述該邏輯關(guān)系。多次嘗試編寫,并不能準(zhǔn)確描述邏輯關(guān)系,以及進(jìn)行編程調(diào)試,對于vhdl語言不能準(zhǔn)確應(yīng)用,想請教一下結(jié)構(gòu)體的相關(guān)邏輯語言。
2022-05-04 12:21:32

如何用VHDL設(shè)計(jì)專用串行通信芯片?

如何用VHDL設(shè)計(jì)專用串行通信芯片?本文以開發(fā)統(tǒng)計(jì)時分復(fù)用器中的專用同步收發(fā)芯片為例,介紹整個芯片的開發(fā)流程。
2021-05-08 07:22:30

尋找VHDL語言編寫的UDP IP堆棧

各位大家好,我正在尋找VHDL語言編寫的UDP / IP堆棧(我必須在Spartan-6 FPGA中實(shí)現(xiàn)它)。我正在尋找一個盡可能簡單的功能:使用VHDL語言編寫,而不是Verilog -
2019-08-06 02:37:22

應(yīng)用VHDL語言的FFT算法實(shí)現(xiàn)

應(yīng)用VHDL語言的FFT算法實(shí)現(xiàn)
2012-08-20 20:17:57

怎么實(shí)現(xiàn)基于CPLD和MT8880的遠(yuǎn)程控制及播音系統(tǒng)設(shè)計(jì)?

介紹了一種基于CPLD和MT8880的遠(yuǎn)程控制及語音通信的解決方案。給出了系統(tǒng)的原理框圖和關(guān)鍵電路, 并對關(guān)鍵電路的工作原理進(jìn)行了說明; 最后給出了系統(tǒng)主機(jī)控制器中關(guān)鍵模塊的QUARTUS II設(shè)計(jì)圖及基于VHDL語言的MT8880收發(fā)程序源代碼。[/td]
2021-05-26 07:01:53

怎么實(shí)現(xiàn)基于CPLD的異步串行通訊控制器的設(shè)計(jì)?

本文在對異步串行通信協(xié)議進(jìn)行分析的基礎(chǔ),根據(jù)實(shí)際工程的需要,對異步串行通信控制器進(jìn)行了詳細(xì)設(shè)計(jì),并結(jié)合CPLD器件,采用VHDL語言,對設(shè)計(jì)方案進(jìn)行了實(shí)現(xiàn)和驗(yàn)證,通過最后時序仿真的波形圖得出
2021-05-28 06:53:11

怎么VHDL語言CPLD實(shí)現(xiàn)串行通信?

串行通信發(fā)送器是什么工作原理?怎么VHDL語言CPLD實(shí)現(xiàn)串行通信?
2021-04-13 06:26:46

怎么利用CPLD/FPGA的VHDL語言優(yōu)化電路?

(PLD)的發(fā)展而發(fā)展起來的。它是一種面向設(shè)計(jì)、多層次的硬件描述語言,是集行為描述、RTL描述、門級描述功能為一體的語言,并已成為描述、驗(yàn)證和設(shè)計(jì)數(shù)字系統(tǒng)中最重要的標(biāo)準(zhǔn)語言之一。由于VHDL語法和風(fēng)格類似于高級編程語言,可讀性好,描述能力強(qiáng),設(shè)計(jì)方法靈活,可移植性強(qiáng),因此它已成為廣大EDA工程師的首選。
2019-08-28 08:05:46

怎么利用CPLD器件及VDHL語言實(shí)現(xiàn)電梯控制系統(tǒng)?

如何使用CPLD器件,采用VHDL語言設(shè)計(jì)一個16 樓層單個載客箱的電梯控制系統(tǒng),此控制系統(tǒng)具有使用安全可靠,功能全面的特點(diǎn),方便人們生活。
2021-04-29 07:07:05

怎么設(shè)計(jì)優(yōu)化VHDL語言電路?

語法和風(fēng)格類似于高級編程語言,可讀性好,描述能力強(qiáng),設(shè)計(jì)方法靈活,可移植性強(qiáng),因此它已成為廣大EDA工程師的首選。目前,使用VHDL語言進(jìn)行CPLD/FPGA設(shè)計(jì)開發(fā),Altera和Lattice
2019-08-08 07:08:00

模擬IC設(shè)計(jì)與使用VHDL語言設(shè)計(jì)IC的區(qū)別

感覺模擬IC設(shè)計(jì)就應(yīng)該是設(shè)計(jì)模擬電路.設(shè)計(jì)運(yùn)放等,通過設(shè)計(jì)電路、硅片搭建TTL.CMOS......從而做成IC芯片;而我經(jīng)??吹秸fIC設(shè)計(jì)就是使用VHDL語言設(shè)計(jì)IC,寫好VHDL語言后燒錄到FPGA.CPLD.......從而做成芯片。我想問的是這兩者有什么區(qū)別?
2018-08-29 09:45:43

請問怎樣去設(shè)計(jì)VHDL-CPLD接口?

基于CPLD的狀態(tài)機(jī)該怎樣去設(shè)計(jì)?如何去描述VHDL語言?
2021-04-28 07:01:10

vhdl語言ppt

VHDL的定義和功能VHDL的發(fā)展概況程序編程語言和硬件描述語言的對比引入硬件描述語言對系統(tǒng)進(jìn)
2008-09-03 12:58:4139

VHDL語言及其應(yīng)用 pdf

VHDL語言及其應(yīng)用是在作者歷時七年為通信與信息系統(tǒng)、信號與信息處理專業(yè)研究生講授VHDL語言及其應(yīng)用課程的教學(xué)實(shí)踐基礎(chǔ)上編寫而成的。全書共分15章,以教授完整的VHDL語言
2009-02-12 09:41:38172

A CPLD VHDL Introduction

A CPLD VHDL Introduction This introduction covers the fundamentals of VHDL as applied to Complex
2009-03-28 16:14:3725

基于CPLD 的步進(jìn)電機(jī)控制介紹

敘述基于CPLD 的步進(jìn)電機(jī)的控制,采用VHDL 語言實(shí)現(xiàn)其控制,并在MAXPLUS2 下實(shí)現(xiàn)理想的仿真效果。該控制采用CPLD 作為核心器件,減少分立元件使用,在實(shí)時性和靈活性等性能上都有
2009-04-02 17:14:1936

PC機(jī)與CPLD通信問題的研究

根據(jù)PC 機(jī)作為上位機(jī)和下位機(jī)的CPLD 串行通信的特點(diǎn),簡介上位機(jī)VB 程序的編寫;詳述在EDA 軟件MAXPLUSII 的環(huán)境下,利用AHDL 語言,編寫下位機(jī)程序。此設(shè)計(jì)具有波特率高、傳輸準(zhǔn)確
2009-04-15 08:40:1417

循環(huán)冗余校驗(yàn)碼的單片機(jī)及CPLD 實(shí)現(xiàn)

循環(huán)冗余碼校驗(yàn)(CRC)是一種可靠性很高的串行數(shù)據(jù)校驗(yàn)方法。介紹循環(huán)冗余碼校驗(yàn)的基本原理,并分別用單片機(jī)和CPLD 作了循環(huán)冗余碼校驗(yàn)的軟件實(shí)現(xiàn)和硬件實(shí)現(xiàn)。包括匯編語言VHDL
2009-04-16 14:19:4416

I2C總線通信接口的CPLD實(shí)現(xiàn)

介紹采用ALTERA 公司的可編程器件,實(shí)現(xiàn)I2C 總線的通信接口的基本原理; 給出部分VHDL語言描述。該通信接口與專用的接口芯片相比, 具有使用靈活, 系統(tǒng)配置方便的特點(diǎn)。
2009-05-14 13:16:0026

PC 機(jī)與CPLD 通信問題的研究

根據(jù)PC 機(jī)作為上位機(jī)和下位機(jī)的CPLD 串行通信的特點(diǎn),簡介上位機(jī)VB 程序的編寫;詳述在EDA 軟件MAXPLUSII 的環(huán)境下,利用AHDL 語言,編寫下位機(jī)程序。此設(shè)計(jì)具有波特率高、傳輸準(zhǔn)確
2009-05-14 14:15:2722

基于PC/104 總線與CPLD 的SPI 接口設(shè)計(jì)

本文根據(jù)SPI 同步串行接口的通信協(xié)議,介紹了在CPLD 中利用VHDL 語言實(shí)現(xiàn)PC/104 總線擴(kuò)展SPI 接口的設(shè)計(jì)原理和編程思想。通過該方法的介紹,使得那些沒有SPI 接口功能的
2009-05-30 09:28:1841

VHDL語言及其應(yīng)用

VHDL語言及其應(yīng)用是在作者歷時七年為通信與信息系統(tǒng)、信號與信息處理專業(yè)研究生講授VHDL語言及其應(yīng)用課程的教學(xué)實(shí)踐基礎(chǔ)上編寫而成的。全書共分15章,以教授完整的VHDL語言
2009-07-10 17:21:4418

VHDL語言及其應(yīng)用

VHDL語言及其應(yīng)用的主要內(nèi)容:第一章 硬件模型概述第二章 基本的VHDL編程語言第三章 VHDL模型的組織第四章 VHDL綜合工具第五章 VHDL應(yīng)用樣例附錄A VHDL
2009-07-20 12:06:150

VHDL語言CPLD/ FPGA上實(shí)現(xiàn)浮點(diǎn)運(yùn)算

 介紹了用VHDL 語言在硬件芯片上實(shí)現(xiàn)浮點(diǎn)加/ 減法、浮點(diǎn)乘法運(yùn)算的方法,并以Altera 公司的FLEX10K系列產(chǎn)品為硬件平臺,以Maxplus II 為軟件工具,實(shí)現(xiàn)了6 點(diǎn)實(shí)序列浮點(diǎn)加/ 減法
2009-07-28 14:06:1385

VHDL語言概述

VHDL語言概述:本章主要內(nèi)容:􀁺硬件描述語言(HDL)􀁺VHDL語言的特點(diǎn)􀁺VHDL語言的開發(fā)流程 1.1 1.1 硬件描述語言( 硬件描述語言(HDL HDL)􀂾H
2009-08-09 23:13:2047

CPLD實(shí)現(xiàn)DSP與背板VME總線之間的連接

介紹了采用CPLD 實(shí)現(xiàn)DSP 芯片TMS320C6713 和背板VME 總線之間高速數(shù)據(jù)傳輸?shù)南到y(tǒng)設(shè)計(jì)方法。設(shè)計(jì)中采用VHDL 語言CPLD 進(jìn)行編程。同時由于CPLD 的現(xiàn)場可編程特性,增強(qiáng)了整個系統(tǒng)
2009-08-15 08:39:2351

VHDL語言描述數(shù)字系統(tǒng)

VHDL語言描述數(shù)字系統(tǒng):本章介紹用 VHDL 描述硬件電路的一些基本手段和基本方法。   VHDL 語言是美國國防部在 20 世紀(jì) 80 年代初為實(shí)現(xiàn)其高速集成電路計(jì)劃(VHSIC)而提出的
2009-09-01 09:02:4037

基于CPLD的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

設(shè)計(jì)了以CPLD 為核心處理芯片的多路數(shù)據(jù)采集系統(tǒng),按照正確的時序直接控制AD676和雙端口RAM的工作, 所有這些功能都采用VHDL語言進(jìn)行描述。關(guān)鍵詞:CPLD, AD676, VHDL語言, 雙端口R
2009-09-01 10:26:4125

基于CPLD的某BIT系統(tǒng)研制

介紹了基于CPLD 和EDA 技術(shù)的BIT(機(jī)內(nèi)測試)系統(tǒng)的實(shí)現(xiàn)。本系統(tǒng)以CPLD 為控制核心,在MAX+PLUSII 環(huán)境下采用VHDL 語言實(shí)現(xiàn)了系統(tǒng)接口及測頻電路。該系統(tǒng)具有集成度高、靈活性強(qiáng)、易于開
2009-09-03 09:30:519

基于CPLD的Flash讀取控制的設(shè)計(jì)與實(shí)現(xiàn)

        在使用Flash 存儲數(shù)據(jù)時,有時需要對其設(shè)計(jì)讀寫控制邏輯。本文介紹了用VHDL 語言CPLD內(nèi)部編程,實(shí)現(xiàn)對Flash 中數(shù)據(jù)的讀取控制的具體方法,并給出
2009-09-04 09:29:3635

VHDL 語言程序的元素

VHDL 語言程序的元素:本章主要內(nèi)容:􀁺VHDL語言的對象􀁺VHDL語言的數(shù)據(jù)類型􀁺VHDL語言的運(yùn)算符􀁺VHDL語言的標(biāo)識符􀁺VHDL語言的詞法單元
2009-09-28 14:32:2141

基于單片機(jī)的CPLD/FPGA被動串行下載配置的實(shí)現(xiàn)

基于單片機(jī)的CPLD/FPGA被動串行下載配置的實(shí)現(xiàn):介紹采用AT89S2051單片機(jī)配合串行E2PROM存儲器,實(shí)現(xiàn)CPLD/FPGA器件的被動串行模式的下載配置,闡述了其原理及軟硬件設(shè)計(jì)。  &nb
2009-10-29 21:57:2219

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對音頻信號的頻譜顯示,給出了設(shè)計(jì)過程、VHDL語言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-02-24 14:46:4528

異步串行通信接口電路的VHDL語言設(shè)計(jì)

摘要:提出了1種基于CPLD、EPLD或FPGA等可編程邏輯器件的異步串行通信接口電路的設(shè)計(jì)方法。該方法簡單快捷。易于實(shí)現(xiàn)。而且可以作為異步串行通信接口的標(biāo)準(zhǔn)模塊插入到用戶的各
2010-04-30 09:58:4146

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對音頻信號的頻譜顯示,給出了設(shè)計(jì)過程、VHDL語言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-07-17 18:07:4025

基于CPLD的電梯控制器的設(shè)計(jì)

探討電梯控制技術(shù)的發(fā)展歷史和技術(shù)現(xiàn)狀,仔細(xì)研究CPLD器件的工作原理,開發(fā)流程以及VHDL語言的編程方法;采用單片CPLD器件,在MAX+plusⅡ軟件環(huán)境下,運(yùn)用VHDL語言設(shè)計(jì)一個16樓層單
2010-12-27 15:27:3556

VHDL語言的組合電路設(shè)計(jì)

實(shí)驗(yàn)八、VHDL語言的組合電路設(shè)計(jì)一? 實(shí)驗(yàn)?zāi)康?掌握VHDL語言的基本結(jié)構(gòu)及設(shè)計(jì)的輸入方法。2掌握VHDL語言的組合電路設(shè)計(jì)方法。二? 實(shí)驗(yàn)設(shè)備與儀器
2009-03-13 19:26:582368

VHDL語言在FPGA/CPLD開發(fā)中的應(yīng)用?

【摘 要】 通過設(shè)計(jì)實(shí)例詳細(xì)介紹了用VHDL(VHSIC Hardware DescriptionLanguage)語言開發(fā)FPGA/CPLD的方法,以及與電路圖輸入和其它HDL語言相比,使用VHDL語言的優(yōu)越性。
2009-05-10 19:47:301111

CPLD與TMS320VC55x的McBSP接口設(shè)計(jì)?

【摘 要】 根據(jù)McBSP和CPLD的時序分析,采用VHDL語言設(shè)計(jì)了CPLD模擬McBSP并與之進(jìn)行全雙工通信,給出了實(shí)際的接口時序結(jié)果。   
2009-05-14 20:40:021131

VHDL設(shè)計(jì)專用串行通信芯片

VHDL設(shè)計(jì)專用串行通信芯片 一種專用串行同步通信芯片(該芯片內(nèi)部結(jié)構(gòu)和操作方式以INS8250為參考)的VHDL設(shè)計(jì)及CPLD實(shí)現(xiàn),著重介紹了用VHDLCPLD設(shè)計(jì)專用通信芯片的
2009-10-12 19:07:481701

采用CPLD/FPGA的VHDL語言電路優(yōu)化原理設(shè)計(jì)

采用CPLD/FPGA的VHDL語言電路優(yōu)化原理設(shè)計(jì) VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起
2010-03-19 11:38:022318

基于CPLDVHDL語言數(shù)字鐘(含秒表)設(shè)計(jì)

利用一塊芯片完成除時鐘源、按鍵、揚(yáng)聲器和顯示器(數(shù)碼管)之外的所有數(shù)字電路功能。所有數(shù)字邏輯功能都在CPLD器件上用VHDL語言實(shí)現(xiàn)。這樣設(shè)計(jì)具有體積小、設(shè)計(jì)周期短(設(shè)計(jì)過
2011-09-27 15:08:56366

基于VHDL的DRAM控制器設(shè)計(jì)

本文提出一種新穎的解決方案:利用80C186XL的時序特征,采用CPLD技術(shù),并使用VHDL語言設(shè)計(jì)實(shí)現(xiàn)DRAM控制器。
2012-02-02 11:29:581185

基于CPLD/FPGA的VHDL語言電路優(yōu)化設(shè)計(jì)

VHDL(Very High Speed Integrated CIRCUITHARDWARE DESCRIPTION Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起來的。
2012-03-02 09:16:053822

altera FPGA/CPLD高級篇(VHDL源代碼)

altera FPGA/CPLD高級篇(VHDL源代碼)
2012-11-13 14:40:38134

基于CPLDVHDL語言數(shù)字鐘(含秒表)設(shè)計(jì)

基于CPLDVHDL語言數(shù)字鐘(含秒表)設(shè)計(jì)
2015-11-04 15:14:369

FM收音機(jī)的解碼及控制器VHDL語言實(shí)現(xiàn)

Xilinx FPGA工程例子源碼:FM收音機(jī)的解碼及控制器VHDL語言實(shí)現(xiàn)
2016-06-07 14:13:4311

VHDL硬件描述語言

VHDL語言編程學(xué)習(xí)之VHDL硬件描述語言
2016-09-01 15:27:270

VHDL設(shè)計(jì)專用串行通信芯片

VHDL設(shè)計(jì)專用串行通信芯片,下來看看。
2016-12-20 18:02:5010

CPLD中用UART邏輯實(shí)現(xiàn)高速異步串行通信

CPLD中用UART邏輯實(shí)現(xiàn)高速異步串行通信
2017-01-24 16:54:2412

VHDL硬件描述語言的學(xué)習(xí)

在小規(guī)模數(shù)字集成電路就要淘汰的今天,作為一個電類專業(yè)的畢業(yè)生應(yīng)該熟悉VHDL語言CPLD、FPGA器件的設(shè)計(jì),閻石教授新編寫的教材也加入了VHDL語言方面的內(nèi)容,可見使用VHDL語言將數(shù)字系統(tǒng)集成
2017-12-05 09:00:3120

基于VHDL語言CPLD器件實(shí)現(xiàn)頻譜電平動態(tài)顯示電路的設(shè)計(jì)

LED點(diǎn)陣顯示屏具有醒目、動態(tài)效應(yīng)好、省電節(jié)能、亮度較高、用途廣等優(yōu)點(diǎn),是現(xiàn)代 化城市的主要標(biāo)志之一。利用VHDL硬件描述語言設(shè)計(jì)了以CPLD器件為核心的控制電路, 在LED點(diǎn)陣屏上實(shí)現(xiàn)了音頻信號的頻譜型電平動態(tài)顯示, 而且具有顯示模式多樣化、易編程 修改,顏色可變、動態(tài)效果好等優(yōu)點(diǎn)。
2019-04-26 08:08:001933

PC機(jī)的CPLD串行通信的特點(diǎn)與程序的編寫

語言,應(yīng)用這的通信控件編寫上位機(jī)的通信程序十分方便,過程簡單。本文針對CPLD和PC通信的特點(diǎn),各編寫了上位機(jī)和下位機(jī)的程序,進(jìn)行相對高速的串行通信
2018-11-14 10:15:003521

VHDL教程之VHDL語言元素的詳細(xì)資料概述

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL教程之VHDL語言元素的詳細(xì)資料概述一內(nèi)容包括了:1. VHDL語言的客體2 VHDL語言的數(shù)據(jù)類型3 VHDL數(shù)據(jù)類型轉(zhuǎn)換4 VHDL詞法規(guī)則與標(biāo)識符
2018-11-05 08:00:000

如何使用FPGA CPLDVHDL語言設(shè)計(jì)一個交通燈控制系統(tǒng)

VHDL語言設(shè)計(jì)交通燈控制系統(tǒng),并在MAX+PLUS II系統(tǒng)對FPGA/CPLD芯片進(jìn)行下載,由于生成的是集成化的數(shù)字電路,沒有傳統(tǒng)設(shè)計(jì)中的接線問題,所以故障率低、可靠性高,而且體積小。體現(xiàn)了EDA技術(shù)在數(shù)字電路設(shè)計(jì)中的優(yōu)越性。
2018-11-05 17:36:0523

vhdl語言的操作符_vhdl語言有什么用

VHDL是一種用來描述數(shù)字邏輯系統(tǒng)的“編程語言”。它通過對硬件行為的直接描述來實(shí)現(xiàn)對硬件的物理實(shí)現(xiàn),代表了當(dāng)今硬件設(shè)計(jì)的發(fā)展方向。VHDL是為了滿足邏輯設(shè)計(jì)過程中的各種需求而設(shè)計(jì)的。
2020-04-23 15:51:032362

什么是vhdl語言_簡述vhdl語言的特點(diǎn)

什么是vhdl語言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

使用CPLD器件和VHDL語言實(shí)現(xiàn)USB收發(fā)模塊的硬件功能設(shè)計(jì)

,Universal Serial Bus)接口既是一種快速、雙向、廉價、可以進(jìn)行熱插拔的串行接口技術(shù),也是一種體系完備的通信協(xié)議,已逐漸成為計(jì)算機(jī)的主流接口。USB接口的收發(fā)模塊用于進(jìn)行數(shù)據(jù)編碼和與外部相連。本文主要介紹使用CPLD實(shí)現(xiàn)通用串行總線(USB)接口收發(fā)模塊。
2020-07-31 17:10:461269

單片機(jī)與FPGA異步串行通信實(shí)現(xiàn)方法

介紹了單片機(jī)與FPGA 異步串行通信實(shí)現(xiàn)方法,給出了系統(tǒng)結(jié)構(gòu)原理框圖及其部分VHDL 程序,并定義了發(fā)送器和接收器的端口信號。
2020-09-29 16:20:008

FPGA與單片機(jī)實(shí)現(xiàn)串行通信的資料詳細(xì)說明

介紹了單片機(jī)與FPGA 異步串行通信實(shí)現(xiàn)方法,給出了系統(tǒng)結(jié)構(gòu)原理框圖及其部分VHDL 程序,并定義了發(fā)送器和接收器的端口信號。
2021-03-02 13:52:0015

FPGA和單片機(jī)的串行通信接口設(shè)計(jì)

本文介紹利用VHDL語言實(shí)現(xiàn)FPGA與單片機(jī)的串口異步通信電路。
2023-08-03 15:45:37790

VHDL語言創(chuàng)建一個8位算術(shù)邏輯單元(ALU)

在這個項(xiàng)目中,我們用 VHDL 語言創(chuàng)建一個 8 位算術(shù)邏輯單元 (ALU),并在連接到帶有輸入開關(guān)和 LED 顯示屏的定制 PCB 的 Altera CPLD 開發(fā)板上運(yùn)行。
2023-10-24 17:05:57675

基于VHDL語言實(shí)現(xiàn)遠(yuǎn)程防盜報警設(shè)計(jì)

電子發(fā)燒友網(wǎng)站提供《基于VHDL語言實(shí)現(xiàn)遠(yuǎn)程防盜報警設(shè)計(jì).pdf》資料免費(fèi)下載
2023-11-08 14:33:110

已全部加載完成