電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>賽靈思加速駕駛員輔助系統(tǒng)開發(fā)

賽靈思加速駕駛員輔助系統(tǒng)開發(fā)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

飛思卡爾高級駕駛員輔助系統(tǒng)(ADAS)解決方案

高級駕駛員輔助系統(tǒng)(ADAS)能夠在復(fù)雜的車輛操控過程中為駕駛員提供輔助和補充,并在未來最終實現(xiàn)無人駕駛。ADAS提供的功能包括自適應(yīng)巡航控制、盲點監(jiān)測、車道偏離警告、夜視、車道保持輔助和碰撞警告系統(tǒng),具有自動轉(zhuǎn)向和制動干預(yù)功能。
2014-11-04 16:48:244617

駕駛員輔助系統(tǒng):新型雷達設(shè)計

最新階段是“駕駛員輔助”安全,包括自適應(yīng)巡航控制(ACC)、盲點檢測(BSD)和車道變換輔助(LCA)。這些系統(tǒng)漸漸開始與車內(nèi)通信系統(tǒng)融合,使汽車更具自主性和智能性。
2014-02-14 09:59:471002

2016上海汽車教學與駕駛員培訓設(shè)備展

2016上海汽車教學與駕駛員培訓設(shè)備展2016上海國際汽車教學與駕駛員培訓設(shè)備展覽會舉辦單位:中華人民共和國商務(wù)部主辦單位:中國通用技術(shù)集團展覽日期:2016年9月25-27日展覽周期:每年一屆展出
2016-01-29 14:31:11

2020年汽車駕駛員(初級)報名考試及汽車駕駛員(初級)模擬考試題 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2020年汽車駕駛員(初級)報名考試及汽車駕駛員(初級)模擬考試題,包含汽車駕駛員(初級)報名考試答案和解析及汽車駕駛員(初級)模擬考試題練習。由安全生產(chǎn)
2021-08-31 09:11:21

2020年汽車駕駛員(初級)考試試卷及汽車駕駛員(初級)考試技巧 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2020年汽車駕駛員(初級)考試試卷及汽車駕駛員(初級)考試技巧,包含汽車駕駛員(初級)考試試卷答案和解析及汽車駕駛員(初級)考試技巧練習。由安全生產(chǎn)
2021-08-31 07:35:19

2020年汽車駕駛員(初級)考試題及汽車駕駛員(初級)模擬考試題庫 精選資料推薦

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2020年汽車駕駛員(初級)考試題及汽車駕駛員(初級)模擬考試題庫,包含汽車駕駛員(初級)考試題答案和解析及汽車駕駛員(初級)模擬考試題庫練習。由安全生產(chǎn)
2021-08-31 06:41:36

2020汽車駕駛員(初級)證考試及汽車駕駛員(初級)在線考試 精選資料推薦

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2020汽車駕駛員(初級)證考試及汽車駕駛員(初級)在線考試,包含汽車駕駛員(初級)證考試答案解析及汽車駕駛員(初級)在線考試練習。由安全生產(chǎn)模擬考試一點
2021-08-31 07:21:47

2021年汽車駕駛員(中級)考試及汽車駕駛員(中級)考試資料 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序汽車駕駛員(中級)考試是安全生產(chǎn)模擬考試一點通生成的,汽車駕駛員(中級)證模擬考試題庫是根據(jù)汽車駕駛員(中級)最新版教材匯編出汽車駕駛員(中級)仿真
2021-08-30 09:05:41

2021年汽車駕駛員(初級)多少錢及汽車駕駛員(初級)證考試 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2021年汽車駕駛員(初級)多少錢及汽車駕駛員(初級)證考試,包含汽車駕駛員(初級)多少錢答案和解析及汽車駕駛員(初級)證考試練習。由安全生產(chǎn)模擬考試一點
2021-08-31 07:37:11

2021年汽車駕駛員(初級)實操考試視頻及汽車駕駛員(初級)考試軟件 精選資料分享

生產(chǎn)模擬考試一點通公眾號結(jié)合國家汽車駕駛員(初級)考試最新大綱及汽車駕駛員(初級)考試真題匯總,有助于汽車駕駛員(初級)作業(yè)模擬考試考前練習。1、【判斷題】()對汽車外表進行清潔,保持車容整潔。清洗汽車車身時,應(yīng)待車身表面冷卻后再進行清洗。(√)2、【判斷題】()由于摩托車體積小、加速性能...
2021-08-31 07:55:51

2021年汽車駕駛員(初級)考試平臺及汽車駕駛員(初級)考試申請表 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2021年汽車駕駛員(初級)考試平臺及汽車駕駛員(初級)考試申請表,包含汽車駕駛員(初級)考試平臺答案和解析及汽車駕駛員(初級)考試申請表練習。由安全生產(chǎn)
2021-08-31 07:14:48

2021年汽車駕駛員(初級)考試報名及汽車駕駛員(初級)試題及解析 精選資料推薦

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序汽車駕駛員(初級)考試報名是安全生產(chǎn)模擬考試一點通總題庫中生成的一套汽車駕駛員(初級)試題及解析,安全生產(chǎn)模擬考試一點通上汽車駕駛員(初級)作業(yè)手機同步
2021-08-30 07:55:46

2021年汽車駕駛員(高級)復(fù)審考試及汽車駕駛員(高級)模擬考試軟件 精選資料推薦

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序2021年汽車駕駛員(高級)復(fù)審考試及汽車駕駛員(高級)模擬考試軟件,包含汽車駕駛員(高級)復(fù)審考試答案和解析及汽車駕駛員(高級)模擬考試軟件練習。由安全
2021-08-31 08:03:45

2021年汽車駕駛員(高級)試題及解析及汽車駕駛員(高級)操作證考試 精選資料分享

題庫來源:安全生產(chǎn)模擬考試一點通公眾號小程序汽車駕駛員(高級)試題及解析是安全生產(chǎn)模擬考試一點通生成的,汽車駕駛員(高級)證模擬考試題庫是根據(jù)汽車駕駛員(高級)最新版教材匯編出汽車駕駛員(高級)仿真
2021-08-30 07:09:41

360°全景行車輔助系統(tǒng)掃除行車過程中的盲區(qū)

電子論壇上闡述了自己的觀點,“不過,就算目前一些中高端車型中已安裝了全景行車輔助系統(tǒng),實際上其中9大部分是2D成像技術(shù),仍存在較大的局限,只能作為倒車影像使用,無法在行駛中給予駕駛員全面清晰的判斷?!眻D
2014-08-26 16:28:47

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開發(fā)7系列FPGA及Zynq?–7000 All Programmable SoC的評估套件Mini-Module Plus 用的電源模塊。安富利公司已經(jīng)開發(fā)出多款
2018-12-04 10:02:08

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 一向是FPGA領(lǐng)域里的領(lǐng)先者,運用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經(jīng)典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對DLP數(shù)字影院投影儀產(chǎn)生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數(shù)字影院投影儀產(chǎn)品,均采用了Virtex?-5 FPGA系列產(chǎn)品。
2019-08-19 07:12:03

Spartan開發(fā)板使用困境記錄 精選資料分享

Spartan開發(fā)板使用困境記錄原理圖和接口主要是對照核心板的原理圖,一般的接法就是系列的單片機,連接好電源和下載器,記得預(yù)先安好驅(qū)動,驅(qū)動安裝成功與否能夠在設(shè)備管理器處查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)設(shè)計小技巧

Verilog(FPGACPLD)設(shè)計小技巧
2012-08-19 22:52:02

公司亞太區(qū)銷售與市場副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹代表公司與您分享一個激動人心的喜訊: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量產(chǎn)了!該里程碑式信息的發(fā)布,不僅是
2012-03-22 15:17:12

有哪幾種ISE設(shè)計套件配置版本 ?

有哪幾種ISE設(shè)計套件配置版本 ?
2021-04-30 06:30:50

的FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包

的FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包
2018-05-24 17:51:38

(XILINX)全新7系列FPGA詳述

(XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

ADAS及后續(xù)升級的事實標準平臺是什么?

公司在汽車市場上立足已有相當長的歷史,但在過去四年里,加上 2011 年 Zynq-7000 All Programmable SoC 開始商業(yè)應(yīng)用,迅速成長為高級駕駛員輔助系統(tǒng) (ADAS) 新興市場的優(yōu)選平臺提供商。
2019-10-10 08:04:12

ADAS的發(fā)展給駕駛員駕駛視野的改變

不止是增加了一些舒適功能如車內(nèi)手機無線連接、個人環(huán)境調(diào)節(jié)系統(tǒng)那樣簡單,許多新科技的設(shè)計均圍繞數(shù)據(jù)傳感和處理(即所謂的高級駕駛員輔助系統(tǒng)(ADAS)),確保駕駛者對道路狀況和實時周邊環(huán)境有更全面的了解
2018-08-29 16:17:56

ADI、瑞薩電子等知名芯片廠商的ADAS經(jīng)典解決方案合集

集錦通過文檔,可了解以下內(nèi)容:一、全面解析高級駕駛輔助系統(tǒng)二、ADI 高級駕駛員輔助系統(tǒng)(ADAS)視覺解決方案三、飛卡爾高級駕駛員輔助系統(tǒng)(ADAS)解決方案四、飛卡爾 高級駕駛員輔助系統(tǒng) 77
2020-06-02 15:09:59

Ameya360 環(huán)繞視野泊車輔助系統(tǒng)解決方案

實時提供給駕駛員泊車所需要的汽車全景圖像,消除了車四周的視覺盲區(qū),來幫助駕駛員更加精確的泊車的目標。當需要進行停泊操作時,駕駛員只需按下中控臺上的泊車輔助控制按鈕,使系統(tǒng)開始工作。首先是停車位置確定
2018-04-16 17:19:36

DMS駕駛員監(jiān)控系統(tǒng)的功能

DMS駕駛員監(jiān)控系統(tǒng)的功能
2021-02-22 06:11:43

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開發(fā)板是altera的,但是很多人推薦說學習的好
2016-01-09 21:27:25

TDA2x SoC系列支持Vision AccelerationPac,助力客戶創(chuàng)建高級駕駛員輔助系統(tǒng)

日前,TI 宣布推出整合創(chuàng)新 Vision AccelerationPac 的 TDA2x 汽車片上系統(tǒng) (SoC) 產(chǎn)品系列,幫助客戶創(chuàng)建高級駕駛員輔助系統(tǒng) (ADAS),其不僅有助于減少路上碰撞
2018-09-20 15:11:12

XilinxFPGA技術(shù)及應(yīng)用線上公開課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術(shù)及應(yīng)用線上公開課。歡迎大家觀看、學習交流~分享主題【FPGA人工智能領(lǐng)域技術(shù)及應(yīng)用】嵌入式視覺領(lǐng)域技術(shù)和解決方案機器學習方面的技術(shù)和解決方案ADAS/自動駕駛方面的應(yīng)用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請聯(lián)系
2019-01-21 19:31:40

【AD新聞】新CEO訪華繪藍圖,7nm ACAP平臺要讓CPU/GPU難企及

系列,為用戶從端點到邊緣再到云端多種不同技術(shù)的快速創(chuàng)新提供支持。 Peng的戰(zhàn)略包括三大要點: “數(shù)據(jù)中心加速”提為發(fā)展新重點: 正在加強與關(guān)鍵數(shù)據(jù)中心客戶、生態(tài)系統(tǒng)合作伙伴及軟件應(yīng)用開發(fā)
2018-03-23 14:31:40

【PYNQ-Z2申請】基于PYNQ-Z2平臺的圖像實時力學測量

PYNQ-Z2平臺完善該項目的開源設(shè)計,并進一步提升性能。項目計劃①根據(jù)文檔,對賽PYNQ-Z2快速入門②通過學習PYNQ-Z2的軟件和系統(tǒng),了解實際應(yīng)用案例,熟悉開發(fā)過程③基于PYNQ-Z2
2019-01-09 14:49:25

【羅姆BD70522GUL-EVK-101評估板試用申請】車載駕駛員狀態(tài)檢測設(shè)備開發(fā)

項目名稱:車載駕駛員狀態(tài)檢測設(shè)備開發(fā)試用計劃:想找到一款合適的低功耗電源IC
2019-11-28 17:46:46

一步步重新詮釋的駕駛體驗

%是由人為錯誤造成的,大多數(shù)情況下是疏忽大意所致。TI的高級駕駛員輔助系統(tǒng) (ADAS) 應(yīng)用處理器團隊正在開發(fā)全新的技術(shù)來減少事故數(shù)量,并通過充分利用創(chuàng)新型半導體器件來開發(fā)出自主駕駛體驗。由于不斷
2018-09-11 14:39:35

為什么說已經(jīng)遠遠領(lǐng)先于Altera?

Altera和20年來都在FPGA這個窄眾市場激烈的競爭者,然而Peter Larson基于對兩個公司現(xiàn)金流折現(xiàn)法的研究表明,是目前FPGA市場的絕對領(lǐng)先者。
2019-09-02 06:04:21

什么是豐富目標設(shè)計平臺?

今年年初,率先在FPGA領(lǐng)域提出目標設(shè)計平臺概念,旨在通過選用開放的標準、通用的開發(fā)流程以及類似的設(shè)計環(huán)境,減少通用工作對設(shè)計人員時間的占用,確保他們能集中精力從事創(chuàng)新性的開發(fā)工作。
2019-08-13 07:27:15

什么是駕駛員監(jiān)控系統(tǒng)?

駕駛員監(jiān)控系統(tǒng),即 DMS(Driver Monitor System),是利用安裝在車上的傳感器,在駕駛員駕駛過程中,通過接觸或非接觸的方式,實時監(jiān)控駕駛員的狀態(tài),能夠檢測到駕駛員危險駕駛行為,并提醒駕駛員的設(shè)備或功能。 (圖片來自網(wǎng)絡(luò),侵刪)
2019-09-16 10:36:34

使用MATLAB & Simulink Add-on插件面向Versal AI引擎設(shè)計

MATLAB & Simulink Add-on插件是將 ModelComposer 和 System Generator forDSP完美結(jié)合的統(tǒng)一工具。
2021-01-28 06:33:40

使用i.MX RT1170的ADAS駕駛員睡意檢測,請問MX RT1170是否足以檢測睡意?

我們有以下要求 1)車輛駕駛員人臉檢測或駕駛員不在2) 計算駕駛員眨眼次數(shù)3)檢查司機的張嘴度(哈欠檢測)4)檢查駕駛員的頭部位置(Head Tilt) 對于以上要求,MX RT1170是否足以檢測睡意?如果不是,請建議合適的零件號。
2023-03-15 08:05:01

全高級駕駛員的感知系統(tǒng)

道路安全從摩爾定律中受益良多,處理能力的提升以及 CMOS 圖像傳感器 (CIS) 和其他傳感器技術(shù)的發(fā)展,讓車輛制造商得以推出高級駕駛員感知系統(tǒng) (ADAS)。ADAS 能增強駕駛員對周邊環(huán)境的感知,減少發(fā)生碰撞的概率。部分系統(tǒng)還能夠監(jiān)控駕駛員并向駕駛員發(fā)出告警,例如在駕駛員打盹時。
2020-05-13 08:12:39

創(chuàng)新和InfoADAS技術(shù)打造提升版駕駛員體驗

)全新的DRA75x信息娛樂處理器。接著,我們把自己的信息化高級駕駛員輔助系統(tǒng)(ADAS)概念應(yīng)用到下一個步驟,并在2015年國際消費電子展(CES)上實現(xiàn)了一種能在單個“Jacinto 6 Ex
2018-09-07 14:41:15

FPGA中使用ARM及AMBA總線

國外的融合技術(shù)專家展示了一項基于FPGA的數(shù)據(jù)采集系統(tǒng),用于合成孔徑成像技術(shù)。采用了Xilinx ISE設(shè)計軟件,支持ARM AMBA AXI4接口。文風犀利,觀點新穎,F(xiàn)PGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何利用28納米工藝加速平臺開發(fā)?

全球可編程邏輯解決方案領(lǐng)導廠商公司 (Xilinx Inc.) 宣布,為推進可編程勢在必行之必然趨勢,正對系統(tǒng)工程師在全球發(fā)布新一代可編程FPGA平臺。和前代產(chǎn)品相比,全新的平臺功耗降低
2019-08-09 07:27:00

如何利用攝像監(jiān)控系統(tǒng)擴大駕駛員的視野?

仍是汽車上的重要設(shè)備,可幫助駕駛員掉頭或變道。然而,如今先進的駕駛輔助系統(tǒng)(ADAS)超越了車鏡的反射,通過攝像頭為駕駛員提供了基于駕駛座位的擴展視角。想利用攝像監(jiān)控系統(tǒng)擴大駕駛員的視野,那我們具體該怎么做呢?
2019-08-05 08:23:51

怎么利用FGPA實現(xiàn)降采樣FIR濾波器?

怎么利用FGPA實現(xiàn)降采樣FIR濾波器?這種濾波器在軟件無線電與數(shù)據(jù)采集類應(yīng)用中都很常見。
2019-08-15 08:21:22

急:基于Labview技術(shù)的駕駛員注意力監(jiān)測系統(tǒng)的基本思路

論文題目是:基于虛擬儀器技術(shù)的駕駛員注意力監(jiān)測控制系統(tǒng),做了很長時間了,實在沒思路,進展不下去,跪求大神們指點,能夠給我一個思路和方向。
2015-05-24 17:38:46

提交FPGA設(shè)計方案,贏取FPGA開發(fā)

“玩轉(zhuǎn)FPGA:iPad2,開發(fā)板等你拿”活動持續(xù)火爆進行中……………………活動得到了廣大電子工程師積極強烈的支持,為了回報電子工程師和網(wǎng)站會員,現(xiàn)在只需提交fpga設(shè)計方案,就有機會獲得
2012-07-06 17:24:41

攝像監(jiān)控系統(tǒng)如何擴大駕駛員的視野

。然而,如今先進的駕駛輔助系統(tǒng)(ADAS)超越了車鏡的反射,通過攝像頭為駕駛員提供了基于駕駛座位的擴展視角。攝像監(jiān)控系統(tǒng)(CMS)也被稱為電子鏡或智能鏡,旨在以攝像頭和顯示屏的形式提供車鏡體驗。想象一下
2022-11-10 06:22:00

攝像監(jiān)控系統(tǒng)擴展駕駛員視角

,但車鏡仍是汽車上的重要設(shè)備,可幫助駕駛員掉頭或變道。然而,如今先進的駕駛輔助系統(tǒng)(ADAS)超越了車鏡的反射,通過攝像頭為駕駛員提供了基于駕駛座位的擴展視角。攝像監(jiān)控系統(tǒng)(CMS)也被稱為電子鏡或智能
2019-03-05 06:45:08

模糊綜合評價方法在駕駛員電子考核系統(tǒng)中的應(yīng)用

本文主要圍繞模糊綜合評價方法在駕駛員考核系統(tǒng)的應(yīng)用作了以下幾個方面的研究:1. 以汽車駕駛模擬器作為試驗平臺,建立駕駛員電子考核系統(tǒng)。2. 通過對駕駛員視線點理論的研究,深入分析了道路交通環(huán)境
2010-12-28 10:24:19

模糊綜合評價方法在駕駛員電子考核系統(tǒng)中的應(yīng)用

模糊綜合評價方法在駕駛員電子考核系統(tǒng)中的應(yīng)用本文主要圍繞模糊綜合評價方法在駕駛員考核系統(tǒng)的應(yīng)用作了以下幾個方面的研究:1. 以汽車駕駛模擬器作為試驗平臺,建立駕駛員電子考核系統(tǒng)。2. 通過對駕駛員
2009-12-02 12:34:12

開發(fā)環(huán)境ISE軟件下載地址

剛開始學的FPGA,求他的ISE軟件下載地址,我在網(wǎng)上沒搜到。謝謝了
2012-08-02 09:52:12

汽車駕駛員操作特性考核仿真系統(tǒng)的研究

汽車駕駛員操作特性考核仿真系統(tǒng)的研究本文圍繞汽車駕駛員操作特性考核仿真系統(tǒng)進行了以下研究1. 通過對國內(nèi)外汽車駕駛員操作特性的考核方法進行分析探討了建立汽車駕駛員考核仿真系統(tǒng)的必要性2. 為了建立
2009-12-02 12:46:20

汽車先進駕駛員輔助系統(tǒng)ADAS:車道偏離告警系統(tǒng)資料分享

車道偏離引起的傷亡事故。 原理功能根據(jù)(美國)國家公路交通安全管理局的定義,車道偏離預(yù)警系統(tǒng)是指一種通過報警的方式輔助駕駛員避免或者減少車道偏離事故的系統(tǒng)。一個車道偏離預(yù)警系統(tǒng)不會試圖控制車輛以防
2018-11-06 09:23:12

海量干貨分享!XDF(開發(fā)者大會)北京站各分論壇演講資料公布

2018年 XDF (開發(fā)者大會)北京站的全部演講內(nèi)容現(xiàn)已開放,現(xiàn)整理供大家下載學習,以下是本屆 XDF 的各分論壇演講題目與資料。云端分論壇收斂 IO 加速平臺 - Xilinx
2019-01-03 15:19:42

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計大賽圓滿結(jié)束

了解產(chǎn)品的機會,利用FPGA器件,開發(fā)設(shè)計產(chǎn)品,進一步提高FPGA設(shè)計能力和水平。  大賽參與情況  本次大賽主題是基于(xilinx)FPGA芯片進行作品設(shè)計(芯片型號和應(yīng)用領(lǐng)域不限
2012-09-06 11:52:48

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計大賽獲獎名單?。?!

專家進行探討交流的機會,提高對技術(shù)知識的應(yīng)用和產(chǎn)品商業(yè)化的認知;為廣大電子愛好者深入了解產(chǎn)品的機會,利用FPGA器件,開發(fā)設(shè)計產(chǎn)品,進一步提高FPGA設(shè)計能力和水平。  大賽參與情況
2012-09-06 11:54:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計大賽開賽啦

經(jīng)歷過和牛人一起進行FPGA設(shè)計比賽的激烈競爭嗎?你感受過FPGA原廠開發(fā)板和fpga行業(yè)泰斗直接帶來的強烈震撼嗎? 沒經(jīng)歷過沒關(guān)系,電子發(fā)燒友網(wǎng)主辦,贊助的“FPGA方案開發(fā)設(shè)計大賽”已經(jīng)為
2012-04-23 09:31:16

用面部識別技術(shù)監(jiān)測駕駛員生命體征

FZI信息技術(shù)研究中心是一家來自德國的科研機構(gòu),駕駛員狀態(tài)監(jiān)測系統(tǒng)目前還處于原型階段,它使用了嵌入式以及傳感器技術(shù),能夠在行車過程中監(jiān)測駕駛員的生命體征數(shù)據(jù)。車內(nèi)的一個攝像頭可以監(jiān)測駕駛員的心率、眨眼頻率、頭部姿勢以及當前情緒等因素,從而對駕駛員的狀態(tài)進行持續(xù)評估。
2020-08-20 07:57:46

睡眠駕駛報警系統(tǒng)

  無鑰匙系統(tǒng)  一種新型的“免鑰匙”技術(shù)有望在今后成為市場主流。而它采用的正是近年來剛剛興起的、技術(shù)?! ∵@種系統(tǒng)被稱之為“駛向未來的鑰匙”。使用這種技術(shù),在駕駛員靠近汽車一米范圍以內(nèi),無需鑰匙
2008-07-09 11:20:35

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

超聲波傳感器在自動駕駛環(huán)境感知下的應(yīng)用有哪些

超聲波主要用于泊車測距、輔助剎車等,量程較短等,然而在倒車輔助過程中,超聲波傳感器通常需同控制器和顯示器結(jié)合使用,從而以聲音或者更為直觀的顯示告知駕駛員周圍障礙物的情況,解除駕駛員泊車、倒車和起動車輛時前后左右探視所引起的困擾,并幫助駕駛員掃除視野死角和視線模糊的缺陷,提高駕駛安全性。
2020-08-18 07:13:24

這顆是限制料還是翻新料?

絲印查不到系列型號,引腳數(shù)量也對不上所有型號規(guī)格,也沒有韓國產(chǎn)地
2023-02-24 17:01:32

采用FPGA實現(xiàn)DisplayPort詳細教程【內(nèi)部資料】

一些芯片制造商已針對上述應(yīng)用推出了現(xiàn)成的標準發(fā)送器和接收機,而推出了名為 Xilinx LogiCORETMDisplayPort v1.1(v1.2 將在 IDS 12.1中配套提供
2012-03-01 11:10:18

采用Xilinx FPGA加速機器學習應(yīng)用

的工作負載需求。  百度執(zhí)行總監(jiān)劉煬表示:“應(yīng)用加速對跟上快速增長的數(shù)據(jù)中心工作負載而言相當重要,也是支持我們進一步發(fā)展的重要因素?!薄 “俣茸詣?b class="flag-6" style="color: red">駕駛事業(yè)部總監(jiān)鮑君威補充說:“FPGA在這個關(guān)鍵任務(wù)
2016-12-15 17:15:52

高價回收系列IC

高價回收系列IC長期回收系列IC,高價求購系列IC。深圳帝歐長期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

高級輔助駕駛系統(tǒng)技術(shù)的市場發(fā)展趨勢和應(yīng)用

(LDW)系統(tǒng)?! “惭b了ADAS的車輛在保險上有折扣也是推動ADAS廣泛應(yīng)用的另一因素。這類優(yōu)惠有一定的統(tǒng)計意義。當駕駛員駕駛車輛開始偏離車道時,系統(tǒng)會發(fā)出告警,在夜間,系統(tǒng)也能夠增強駕駛員的能見度,從而
2018-11-07 15:49:31

高級輔助駕駛ADAS技術(shù)

的尾部。說到ACC的優(yōu)點,顯然,在一定程度上,ACC可以減輕駕駛員駕駛的疲勞。ACC系統(tǒng)加上車道偏離輔助系統(tǒng),可以讓你在較好的城市路況中極大的解放雙腳甚至雙手。ACC作為智能駕駛技術(shù),將會是未來汽車
2019-04-03 00:01:51

高級駕駛員輔助系統(tǒng)(ADAS)解決方案

高級駕駛員輔助系統(tǒng)(ADAS)解決方案是增長最快的汽車行業(yè)之一;根據(jù)Strategy Analytics的預(yù)測,該領(lǐng)域預(yù)計在五年間(2015-2020)增長了10%。即使是入門級模型的設(shè)計者也期望
2022-11-14 07:11:26

高級安全駕駛員輔助系統(tǒng)介紹

要實現(xiàn)汽車自動駕駛,需要消除很多法律、社會和結(jié)構(gòu)障礙。盡管幾乎我們所有人都在科幻小說或電影中見識過“自動駕駛”汽車,但真要相信機器或車載電腦能在各種條件下帶著我們四處游蕩就是另外一回事了。此外,發(fā)生
2022-11-22 06:43:30

高級安全駕駛員輔助系統(tǒng)助力自動駕駛

、加速),但行動的動力是什么呢?盡管高級駕駛員輔助系統(tǒng) (ADAS) 尚未在所有汽車中普及,但這些系統(tǒng)將在從駕駛汽車到汽車自動駕駛的演進過程中發(fā)揮至關(guān)重要的作用,因為它們相當于汽車的眼睛。需要部署各種廣泛
2018-09-14 11:03:54

:“玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計大賽”獲獎獎品展示

Spartan-6開發(fā)板  三等獎獎品: 電子發(fā)燒友網(wǎng)T恤+小禮品  那接下來我們就展示一下獎品陣容吧!先給大家看看我們的iPad 2吧。圖 一等獎獎品之iPad 2#p#Spartan-6開發(fā)
2012-09-06 14:33:50

790.被并入AMD對中國FPGA廠商有什么意義?

fpga
小凡發(fā)布于 2022-10-05 02:52:44

高級駕駛員輔助系統(tǒng)(ADAS)

ADI公司的領(lǐng)先高性能信號處理技術(shù),在當今客車上實施并部署各種基于雷達和視覺的高級駕駛員輔助系統(tǒng)(ADAS)。
2011-09-13 10:07:001737

基于FGPA的汽車駕駛員輔助系統(tǒng)

在 過去的五年間,汽車產(chǎn)業(yè)在駕駛員輔助 (DA)系統(tǒng)方面取得了顯著進步。在切實豐富駕駛體驗的同時,為駕駛員提供了寶貴的周邊路況信息。本白皮書著眼于如何運用 FPGA 迅速將最新駕
2012-06-25 11:47:4547

駕駛員輔助系統(tǒng)報警性能測試臺架的研究

車道偏離報警和前撞報警是駕駛員輔助系統(tǒng)的兩個重要組成部分,報警的正確率、誤報率和漏報率不僅是評價系統(tǒng)性能優(yōu)劣的重要指標,也是影響駕駛員輔助系統(tǒng)主觀感受的重要原因
2012-08-10 11:24:0358

基于機器視覺的輔助駕駛系統(tǒng)設(shè)計與開發(fā)

基于機器視覺的輔助駕駛系統(tǒng)旨在提高駕駛員的環(huán)境感知能力,通過輔助系統(tǒng)監(jiān)測外界環(huán)境,并在非安全情況及時向駕駛員發(fā)出預(yù)警,從而使人—車—路系統(tǒng)更加穩(wěn)定、安全、可靠,提高汽車的安全性能。
2017-11-17 06:51:015988

巴士駕駛員輔助系統(tǒng)減輕工作負擔

波蘭索拉瑞斯巴士客車公司宣布與波蘭波茲南理工大學合作,為巴士駕駛員研發(fā)輔助系統(tǒng),減輕駕駛員們的日常工作負擔。
2020-04-01 17:18:222849

已全部加載完成