電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于Xilinx FPGA和VHDL的數(shù)字秒表設(shè)計與仿真實現(xiàn)

基于Xilinx FPGA和VHDL的數(shù)字秒表設(shè)計與仿真實現(xiàn)

12下一頁全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

51單片機(jī)實現(xiàn)數(shù)字秒表設(shè)計

51單片機(jī)實現(xiàn)數(shù)字秒表,有開始,暫停,結(jié)束三個獨(dú)立按鍵。Proteus仿真圖代碼1.頭文件,定義變量#include#define uchar unsigned char#define uint
2021-11-18 08:38:56

FPGAVHDL有哪些優(yōu)點(diǎn)?怎么理解VHDL?

的設(shè)計早期就能查驗設(shè)計系統(tǒng)的功能可行性,隨時可對設(shè)計進(jìn)行仿真模擬。3.大規(guī)模設(shè)計一些大型的 FPGA 設(shè)計項目必須有多人甚至多個開發(fā)組共同并行工作才能實現(xiàn)。VHDL 語句的行為描述能力和程序結(jié)構(gòu)決定了它具有
2018-09-07 09:04:45

VHDL語言實現(xiàn)數(shù)字電壓表

,舉例說明了利用VHDL語言實現(xiàn)數(shù)字系統(tǒng)的過程。  整個數(shù)字電壓表的硬件結(jié)構(gòu)如圖1所示?! 」ぷ鲿r,系統(tǒng)按一定的速率采集輸入的模擬電壓,經(jīng)ADC0804轉(zhuǎn)換為8位數(shù)字量,此8位數(shù)字量經(jīng)FPGA處理
2012-10-26 15:46:00

Xilinx FPGA無痛入門,海量教程免費(fèi)下載

用notepad++的關(guān)聯(lián)設(shè)置Lesson07 特權(quán)Xilinx FPGA SF-SP6入門指南 -- ISE與Modelsim聯(lián)合仿真之庫編譯Lesson08 特權(quán)Xilinx FPGA SF-SP6入門
2015-07-22 11:49:20

Xilinx Fpga仿真仿真

本帖最后由 eehome 于 2013-1-5 10:08 編輯 Xilinx Fpga仿真仿真
2012-08-17 08:50:07

Xilinx Fpga仿真仿真

Xilinx Fpga仿真仿真
2012-08-15 18:49:38

vhdl實用教程pdf下載

8章)、VHDL綜合和可綜合的VHDL程序設(shè)計技術(shù)(第9章)、VHDL基本設(shè)計和實用設(shè)計(第10、11章)、多種常用的支持VHDL的EDA軟件使用(第12章)、VHDL數(shù)字系統(tǒng)設(shè)計實踐(第13章
2008-06-04 10:31:29

數(shù)字秒表

上個數(shù)字秒表頂起啊
2012-10-15 11:01:35

數(shù)字信號處理的FPGA實現(xiàn)

FPGA正在掀起一場數(shù)字信號處理的變革。本書旨在講解前端數(shù)字信號處理算法的高效實現(xiàn)。首先概述了當(dāng)前的FPGA技術(shù)、器件以及用于設(shè)計最先進(jìn)DSP系統(tǒng)的工具。第1章的案例研究是40多個設(shè)計示例
2023-09-19 06:38:28

秒表仿真

秒表proteus仿真
2015-12-08 23:26:24

UART參考設(shè)計,Xilinx提供 (VHDL)

UART參考設(shè)計,Xilinx提供 (VHDL)DISCLAIMER
2012-08-13 17:51:49

[下載]數(shù)字示波器的FPGA實現(xiàn)VHDL編寫Quartus7.1測試通過

數(shù)字示波器的FPGA實現(xiàn), VHDL語言,測試通過(僅供參考)
2009-11-06 19:53:52

multisim12能不能進(jìn)行FPGA/CPLD的VHDL仿真??

如題,multisim12能不能進(jìn)行FPGA/CPLD的VHDL仿真??各位大神,multisim12到底能不能進(jìn)行VHDL仿真呢?看上multisim的直觀,可惜好像不能使用里面的FPGA器件。。各種憂傷啊。。。。。求助。。。
2013-09-22 17:09:04

FPGA設(shè)計實例】電子時鐘VHDL程序與仿真

LED上顯示相應(yīng)數(shù)字。5.頂層設(shè)計與仿真(1)頂層設(shè)計VHDL程序--文件名:clock.vhd。--功能:時鐘的頂層設(shè)計。資料下載:
2012-03-05 15:13:19

【參考書籍】Xilinx FPGA開發(fā)實用教程——田耘,徐文波著

Verilog常用程序示例2.6.1 Verilog基本模塊2.6.2 基本時序處理模塊2.6.3 常用數(shù)字處理算法的Verilog實現(xiàn)2.7 本章小結(jié)第3章基于Xilinx芯片的HDL語言高級進(jìn)階3.1 面向
2012-04-24 09:23:33

一種基于Xilinx FPGA的電力諧波檢測設(shè)計

針對實現(xiàn)DSP的設(shè)計軟件—System Generator。在使用FPGA為原型平臺運(yùn)行算法時,它不僅能夠?qū)τ布?b class="flag-6" style="color: red">真實情況進(jìn)行仿真,還能夠自動生成硬件實現(xiàn)所需要的硬件描述語言代碼。與語 言設(shè)計相比
2019-06-21 06:25:23

分享一種數(shù)字秒表設(shè)計方法

本文介紹了一種基于FPGA利用VHDL硬件描述語言的數(shù)字秒表設(shè)計方法,
2021-05-11 06:37:32

基于 FPAG xilinx vivado 仿真模式介紹

。下面小編來詳細(xì)介紹一下不同仿真模式的區(qū)別。 數(shù)字電路設(shè)計中一般包括3個大的階段:源代碼輸入、綜合和實現(xiàn),而電路仿真的切入點(diǎn)也基本與這些階段相吻合,根據(jù)適用的設(shè)計階段的不同仿真可以分為RTL行為級仿真
2018-01-24 11:06:12

基于FPGA數(shù)字秒表該怎么設(shè)計?

盡可能短、實驗成本盡可能低,最好能在實驗室直接驗證設(shè)計的準(zhǔn)確性和可行性,因而出現(xiàn)了現(xiàn)場可編程邏輯門陣列FPGA。對于芯片設(shè)計而言,FPGA的易用性不僅使得設(shè)計更加簡單、快捷,并且節(jié)省了反復(fù)流片驗證的巨額成本。對于某些小批量應(yīng)用的場合,甚至可以直接利用FPGA實現(xiàn),無需再去訂制專門的數(shù)字芯片。
2019-08-30 08:26:38

基于FPGA的FIR濾波器IP仿真實

基于FPGA的FIR濾波器IP仿真實例 AT7_Xilinx開發(fā)板(USB3.0+LVDS)資料共享 騰訊鏈接:https://share.weiyun.com/5GQyKKc 百度網(wǎng)盤鏈接
2019-07-16 17:24:22

基于FPGA的多路PWM輸出接口設(shè)計仿真

驗證也稱版圖后仿真,它是在FPGA實現(xiàn)之后,提取出門級網(wǎng)表和延時信息進(jìn)行驗證,測試平臺文件與行為級一樣。器件驗證結(jié)果是比較接近真實硬件的結(jié)果。完全通過這3層的驗證,基本上可以保證設(shè)計的結(jié)果與測試平臺
2019-04-25 07:00:05

基于VHDL方式實現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計

本文基于VHDL方式實現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計,通過QuartusII軟件建模對程序進(jìn)行仿真,并通過引腳鎖定,下載到FPGA芯片EP1K30TC144—3中,軟件仿真和硬件驗證結(jié)果表明了該
2020-12-18 06:03:26

基于VHDL語言含秒表數(shù)字仿真和引腳設(shè)置

基于VHDL語言含秒表數(shù)字仿真和引腳設(shè)置
2012-05-22 23:13:33

基于單片機(jī)的數(shù)字秒表的設(shè)計簡介

設(shè)計簡介:本設(shè)計是基于單片機(jī)的數(shù)字秒表的設(shè)計,主要實現(xiàn)以下功能:可實現(xiàn)LCD12864顯示時間以及倒計時、順計時等信息;可實現(xiàn)通過按鍵調(diào)節(jié)調(diào)節(jié)時間的大小以及實現(xiàn)計時功能;可實現(xiàn)通過通過語音播報目前
2021-12-08 06:31:00

如何實現(xiàn)基于VHDL語言的全數(shù)字鎖相環(huán)?

 隨著集成電路技術(shù)的不斷進(jìn)步,數(shù)字化應(yīng)用逐漸普及,在數(shù)字通信、電力系統(tǒng)自動化等方面越來越多地運(yùn)用了數(shù)字鎖相環(huán)。它的好處在于免去了模擬器件的繁瑣,而且成本低、易實現(xiàn)、省資源。本文綜合以上考慮,在一片FPGA中以Quartus II為平臺用VHDL實現(xiàn)了一個全數(shù)字鎖相環(huán)功能模塊,構(gòu)成了片內(nèi)鎖相環(huán)。   
2019-10-10 06:12:52

如何用VHDL、Verilog HDL實現(xiàn)設(shè)計輸入?

如何在ALTERA公司的Quartus II環(huán)境下用VHDL、Verilog HDL實現(xiàn)設(shè)計輸入,采用同步時鐘,成功編譯、綜合、適配和仿真,并下載到Stratix系列FPGA芯片EP1S25F780C5中。
2021-04-15 06:19:38

學(xué)習(xí)MATLAB與FPGA聯(lián)合仿真,這幾本秘籍交給你

為開發(fā)平臺,采用MATLAB及VHDL語言開發(fā)工具,詳細(xì)闡述了數(shù)字濾波器的實現(xiàn)原理、結(jié)構(gòu)、方法及仿真測試過程,并通過大量工程實例分析其在FPGA實現(xiàn)過程中的具體技術(shù)細(xì)節(jié)。其主要內(nèi)容包括FIR濾波器
2020-05-12 15:48:28

怎么實現(xiàn)AC-Link數(shù)字音頻VHDL編/解碼的FPGA設(shè)計?

AC-Link音頻編/解碼原理是什么?怎么實現(xiàn)AC-Link數(shù)字音頻VHDL編/解碼的FPGA設(shè)計?
2021-05-10 06:46:20

怎么設(shè)計一種基于FPGA數(shù)字秒表?

本文介紹一種以FPGA為核心,設(shè)計了一種基于FPGA數(shù)字秒表?
2021-05-10 06:40:32

怎樣去設(shè)計基于FPGA數(shù)字秒表?

怎樣去設(shè)計基于FPGA數(shù)字秒表?如何對數(shù)字秒表進(jìn)行仿真測試?
2021-05-13 07:17:49

經(jīng)典FPGA課件 包括altera和xilinx

本帖最后由 eehome 于 2013-1-5 10:09 編輯 共8章,很全面包括altera和xilinx,兩個公司的FPGA和相關(guān)軟件都涉及到,軟件操作,語法點(diǎn),編碼風(fēng)格,還有專門一章
2012-12-06 16:10:55

通帶數(shù)字調(diào)制解調(diào)-通信原理仿真實

通帶數(shù)字調(diào)制解調(diào)-通信原理仿真實
2009-10-11 09:04:55

部分數(shù)字電子仿真實驗資料分享

數(shù)字電子仿真實驗資料大全
2019-04-04 09:20:47

UART 4 UART參考設(shè)計,Xilinx提供VHDL代碼

UART 4 UART參考設(shè)計,Xilinx提供VHDL代碼 uart_vhdl This zip file contains the following folders
2009-06-14 08:57:14113

USB接口控制器參考設(shè)計,xilinx提供VHDL代碼 us

USB接口控制器參考設(shè)計,xilinx提供VHDL代碼 usb xilinx vhdl ;? This program is free software; you can redistribute
2009-06-14 09:05:4045

使用EMIF將Xilinx FPGA與TI DSP平臺接口

使用EMIF將Xilinx FPGA與TI DSP平臺接口:本應(yīng)用指南使用外部存儲器接口 (EMIF) 實現(xiàn)Xilinx FPGA 到 Texas Instruments 數(shù)字信號處理器 (DSP) 平臺的幾種連接。指南目錄本手冊包含以下章節(jié)
2009-11-01 15:00:0968

VHDL實現(xiàn)數(shù)字溫度表

VHDL實現(xiàn)數(shù)字溫度表本專題主要是在于如何運(yùn)用硬體描述語言(VHDL) 仿真數(shù)字溫度表,以及它如何找出ADC0809 的數(shù)位轉(zhuǎn)換值與AD590 感測實際溫度值的對應(yīng)關(guān)系,并以Al tera MAX+ plusI
2009-11-22 17:52:5682

數(shù)字電池EDA入門之VHDL程序實現(xiàn)

數(shù)字電池EDA入門之VHDL程序實現(xiàn)
2009-12-07 14:14:570

多相濾波器組信道化接收機(jī)的FPGA仿真實現(xiàn)

多相濾波器組信道化接收機(jī)的FPGA 仿真實現(xiàn)趙偉 王靜 李偉偉(大連海事大學(xué) 信息工程學(xué)院 遼寧 大連 116026)摘要:軟件無線電理論中的信道化接收機(jī)理論在多信號同時提取
2009-12-15 15:04:5133

十分鐘學(xué)會Xilinx FPGA 設(shè)計

十分鐘學(xué)會Xilinx FPGA 設(shè)計 Xilinx FPGA設(shè)計基礎(chǔ)系統(tǒng)地介紹了Xilinx公司FPGA的結(jié)構(gòu)特點(diǎn)和相關(guān)開發(fā)軟件的使用方法,詳細(xì)描述了VHDL語言的語法和設(shè)計方法,并深入討
2010-03-15 15:09:08177

基于Xilinx ISE軟件平臺用VHDL實現(xiàn)FPGA電路設(shè)

摘要:Xilinx ISE集成綜合環(huán)境是Xilinx公司的現(xiàn)場可編程邏輯器件數(shù)字電路開發(fā)工具集,其集成的工具可以使設(shè)計人員方便、快速地完成FPGA/CPLD數(shù)字電路開發(fā)全過程。通過介紹一個16進(jìn)
2010-05-06 10:27:2679

數(shù)字鎖相位同步提取的VHDL實現(xiàn)

本文設(shè)計了一種在數(shù)字通信系統(tǒng)中的數(shù)字鎖相位同步提取方案,詳細(xì)介紹了本設(shè)計的位同步提取原理及其各個組成功能模塊的VHDL語言實現(xiàn),并在Quartus II開發(fā)平臺上仿真驗證通過。本
2010-08-06 14:28:0864

DCT域數(shù)字水印算法的FPGA實現(xiàn)

提出一種基于DCT域的數(shù)字水印算法,并用FPGA硬件實現(xiàn)其中關(guān)鍵部分DCT變換。采用VHDL語言有效設(shè)計和實現(xiàn)DCT變換,分析與仿真結(jié)果表明:與軟件實現(xiàn)相比,用FPGA實現(xiàn)水印算法具有高
2010-12-28 10:22:1420

LED控制VHDL程序與仿真

LED控制VHDL程序與仿真分別介紹采用FPGA對LED進(jìn)行靜態(tài)和動態(tài)顯示的數(shù)字時鐘控制程序。1. 例1:FPGA驅(qū)動LED靜態(tài)顯示--文件名:decoder.vhd。--功能:譯碼輸出
2008-06-27 11:20:401418

數(shù)字秒表電路圖

數(shù)字秒表電路圖
2009-05-08 14:39:276597

基于FPGA技術(shù)的數(shù)字相關(guān)器的設(shè)計與實現(xiàn)

【摘 要】 用VHDL設(shè)計了一種32-bit數(shù)字相關(guān)器,測試和實際應(yīng)用表明其性能穩(wěn)定可靠。    關(guān)鍵詞:FPGA,VHDL,相關(guān)器
2009-05-11 19:47:10899

數(shù)字電壓表的VHDL設(shè)計與實現(xiàn)

數(shù)字電壓表的VHDL設(shè)計與實現(xiàn) 介紹數(shù)字電壓表的組成及工作原理,論述了基于VHDL語言和FPGA芯片的數(shù)字系統(tǒng)的設(shè)計思想和實現(xiàn)過程。  關(guān)鍵詞:數(shù)字電壓表;VHDL
2009-10-12 19:14:321628

基于VHDLFPGA的非對稱同步FIFO設(shè)計實現(xiàn)

本文采用VHDL描述語言,充分利用Xilinx公司Spartan II FPGA的系統(tǒng)資源,設(shè)計實現(xiàn)了一種非對稱同步FIFO,它不僅提供數(shù)據(jù)緩沖,而且能進(jìn)行數(shù)據(jù)總線寬度的轉(zhuǎn)換。
2011-01-13 11:33:431744

Xilinx FPGA仿真技術(shù)設(shè)計指南

Power Expert是一套可以支持Xilinx FPGA設(shè)計的最新設(shè)計工具,設(shè)計數(shù)字系統(tǒng)的工程師只要利用這套工具,便可解決仿真電路的設(shè)計問題。這個設(shè)計工具網(wǎng)頁詳列Xilinx各種不同的FPGA產(chǎn)品以供工程師挑
2011-03-16 14:48:58137

基于Xilinx FPGA的片上系統(tǒng)無線保密通信終端

本系統(tǒng)以AES加密算法為例,使用Xilinx SPARTAN 3E為開發(fā)平臺,以Xilinx的嵌入式軟核Microblaze為主控制器,調(diào)用FPGA的硬件VHDL編程實現(xiàn)的AES加解密和控制CC2420來實現(xiàn)高速有效的數(shù)據(jù)通信
2011-04-23 11:22:171477

數(shù)字電路設(shè)計·仿真·測試

主要內(nèi)容有:第1 章實驗基本知識、第2 章 PROTEUS 仿真軟件快速入門、第3 章數(shù)字電路基礎(chǔ)實驗、第4 章數(shù)字電路綜合設(shè)計實驗、 第5章VHDL 語言基礎(chǔ)、第6 章 數(shù)字電路的CPLD/FPGA 實現(xiàn)。在教
2011-09-07 16:29:530

基于CPLD的VHDL語言數(shù)字鐘(含秒表)設(shè)計

利用一塊芯片完成除時鐘源、按鍵、揚(yáng)聲器和顯示器(數(shù)碼管)之外的所有數(shù)字電路功能。所有數(shù)字邏輯功能都在CPLD器件上用VHDL語言實現(xiàn)。這樣設(shè)計具有體積小、設(shè)計周期短(設(shè)計過
2011-09-27 15:08:56366

XILINX FPGA CPLD設(shè)計_ISE快速入門

本教程主要是向ISE的初學(xué)者描述和演示, 在XILINX的ISE集成軟件環(huán)境中如何用VHDL和原理圖的方式進(jìn)行設(shè)計輸入如何用 ModelSim 仿真工具對設(shè)計進(jìn)行功能仿真和時序仿真如何實現(xiàn)設(shè)計.
2011-11-01 14:44:070

數(shù)字信號處理的FPGA實現(xiàn)_劉凌譯

本書共分8章,主要內(nèi)容包括典型fpga器件的介紹、vhdl硬件描述語言、fpga設(shè)計中常用軟件簡介、用fpga實現(xiàn)數(shù)字信號處理的數(shù)據(jù)規(guī)劃、多種結(jié)構(gòu)類型的fir數(shù)字濾波器的fpga實現(xiàn)、不同結(jié)構(gòu)
2011-11-04 15:50:120

基于VHDL數(shù)字倍頻器設(shè)計

紹了數(shù)字倍頻電路的工作原理,分析了倍頻器產(chǎn)生誤差的原因,然后給出用VHDL語言來實現(xiàn)數(shù)字倍頻器的方法,并用Max+plusII通過仿真進(jìn)行了驗證。
2011-12-07 13:47:3070

基于FPGA數(shù)字穩(wěn)定校正單元的實現(xiàn)

為了實現(xiàn)對非相干雷達(dá)的接收相參處理,基于數(shù)字穩(wěn)定校正(DSU)的原理,采用ALTERA公司的StratixⅡ系列芯片和VHDL編程語言,設(shè)計了一種基于FPGA的DSU硬件實現(xiàn)方法。實驗結(jié)果表明基于FPGA
2012-06-26 15:48:3627

基于FPGA數(shù)字跑表的設(shè)計

基于FPGA數(shù)字跑表的設(shè)計 VHDL語言
2015-10-30 10:39:2725

基于CPLD的VHDL語言數(shù)字鐘(含秒表)設(shè)計

基于CPLD的VHDL語言數(shù)字鐘(含秒表)設(shè)計
2015-11-04 15:14:369

數(shù)字信號處理的FPGA實現(xiàn)

本書比較全面地闡述了fpga數(shù)字信號處理中的應(yīng)用問題。本書共分8章,主要內(nèi)容包括典型fpga器件的介紹、vhdl硬件描述語言、fpga設(shè)計中常用軟件簡介、用fpga實現(xiàn)數(shù)字信號處理的數(shù)據(jù)規(guī)劃、多種
2015-12-23 11:07:4644

芯片的fpga實現(xiàn)仿真

dac0832ad08098259a,825382508255等芯片的fpga實現(xiàn)仿真
2016-01-20 15:12:4713

svpwm的MATLAB仿真實現(xiàn)

svpwm的MATLAB仿真實現(xiàn),利用MATLAB對三相電路進(jìn)行了仿真,最后成功的仿真除了SVPWM。
2016-04-05 14:16:2813

SVPWM算法仿真實現(xiàn)及分析

SVPWM算法仿真實現(xiàn)及分析,有需要的下來看看
2016-04-14 17:06:449

基于Simulink的三相逆變SVPWM的仿真實現(xiàn)

基于Simulink的三相逆變SVPWM的仿真實現(xiàn)。
2016-04-18 10:13:4569

FM收音機(jī)的解碼及控制器VHDL語言實現(xiàn)

Xilinx FPGA工程例子源碼:FM收音機(jī)的解碼及控制器VHDL語言實現(xiàn)
2016-06-07 14:13:4311

VHDL實現(xiàn)對圖像的采集和壓縮

Xilinx FPGA工程例子源碼:VHDL實現(xiàn)對圖像的采集和壓縮
2016-06-07 14:54:576

USB接口控制器參考設(shè)計VHDL代碼(Xilinx)

Xilinx FPGA工程例子源碼:USB接口控制器參考設(shè)計VHDL代碼(Xilinx)
2016-06-07 14:54:5721

Xilinx Sdram 參考設(shè)計:含Verilog和VHDL

Xilinx FPGA工程例子源碼:含Verilog和VHDL版本級詳細(xì)說明文檔
2016-06-07 14:54:570

Xilinx DDR3最新VHDL代碼(通過調(diào)試)

Xilinx FPGA工程例子源碼:Xilinx DDR3最新VHDL代碼(通過調(diào)試)
2016-06-07 14:54:5777

Xilinx 提供的頻率發(fā)生器的VHDL源碼

Xilinx FPGA工程例子源碼:Xilinx 提供的頻率發(fā)生器的VHDL源碼
2016-06-07 15:07:4510

基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程

Xilinx FPGA工程例子源碼:基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程序
2016-06-07 15:07:4512

FPGA實現(xiàn)數(shù)字鎖相環(huán)

Xilinx FPGA工程例子源碼:用FPGA實現(xiàn)數(shù)字鎖相環(huán)
2016-06-07 15:07:4537

FPGA模擬VGA時序PS_2總線的鍵盤接口VHDL源代碼

Xilinx FPGA工程例子源碼:用FPGA模擬VGA時序PS_2總線的鍵盤接口VHDL源代碼
2016-06-07 15:11:2032

基于8051的Proteus仿真-10秒的秒表

基于8051的Proteus仿真-10秒的秒表
2016-09-06 16:52:2924

秒表protues仿真 51單片機(jī)秒表仿真程序設(shè)計 protue

秒表protues仿真 51單片機(jī)秒表仿真程序設(shè)計 protues秒表仿真設(shè)計
2017-01-14 22:32:46110

XilinxFPGA中LVDS差分高速傳輸?shù)?b class="flag-6" style="color: red">實現(xiàn)

XilinxFPGA中LVDS差分高速傳輸?shù)?b class="flag-6" style="color: red">實現(xiàn)
2017-03-01 13:12:0464

單片機(jī)數(shù)字秒表仿真仿真+程序)

電子發(fā)燒友網(wǎng)站提供《單片機(jī)數(shù)字秒表仿真仿真+程序).rar》資料免費(fèi)下載
2017-06-08 08:00:0090

一種基于FPGA數(shù)字秒表設(shè)計方法

文中介紹了一種基于FPGA數(shù)字秒表設(shè)計方法。采用VHDL硬件描述語言,運(yùn)用ModelSim等EDA仿真工具。該設(shè)計具有外圍電路少、集成度高、可靠性強(qiáng)等優(yōu)點(diǎn)。最后經(jīng)實驗驗證,該數(shù)字秒表計時準(zhǔn)確,輸入
2017-11-18 12:13:019414

基于Xilinx FPGA的視頻圖像采集系統(tǒng)

FPGA仿真篇-使用腳本命令來加速仿真二 基于FPGA的HDMI高清顯示借口驅(qū)動 基于FPGA灰度圖像高斯濾波算法的實現(xiàn) FPGA為什么比CPU和GPU快 基于Xilinx FPGA的視頻圖像采集
2018-02-20 20:44:001256

基于VHDL語言和FPGA開發(fā)板實現(xiàn)數(shù)字秒表的設(shè)計

應(yīng)用VHDL語言設(shè)計數(shù)字系統(tǒng),很多設(shè)計工作可以在計算機(jī)上完成,從而縮短了系統(tǒng)的開發(fā)時間,提高了工作效率。本文介紹一種以FPGA為核心,以VHDL為開發(fā)工具的數(shù)字秒表,并給出源程序和仿真結(jié)果。
2019-07-24 08:05:003119

Xilinx FPGA上單源SYCL C++實現(xiàn)運(yùn)行的方法

在此Xilinx研究實驗室演示中,解釋了單源SYCL C ++示例以及生成在Xilinx FPGA上運(yùn)行的硬件實現(xiàn)的方法。
2018-11-20 06:30:002918

FPGA之硬件語法篇:用Verilog代碼仿真與驗證數(shù)字硬件電路

大家都知道軟件設(shè)計使用軟件編程語言,例如我們熟知的C、Java等等,而FPGA設(shè)計使用的是HDL語言,例如VHDL和Verilog HDL。說的直白點(diǎn),FPGA的設(shè)計就是邏輯電路的實現(xiàn),就是把我們
2019-12-05 07:10:002977

使用FPGA實現(xiàn)自動售貨機(jī)的VHDL程序與仿真資料

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)自動售貨機(jī)的VHDL程序與仿真資料。
2020-12-21 17:10:0023

使用FPGA實現(xiàn)自動售貨機(jī)的VHDL程序與仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)自動售貨機(jī)的VHDL程序與仿真資料免費(fèi)下載。
2020-12-22 17:07:0015

如何使用XilinxFPGA對高速PCB信號實現(xiàn)優(yōu)化設(shè)計

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用XilinxFPGA對高速PCB信號實現(xiàn)優(yōu)化設(shè)計。
2021-01-13 17:00:5925

使用FPGA實現(xiàn)LCD控制的VHDL程序與仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)LCD控制的VHDL程序與仿真資料免費(fèi)下載。
2021-01-18 17:19:0810

使用FPGA實現(xiàn)LED控制的VHDL程序與仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)LED控制的VHDL程序與仿真資料免費(fèi)下載。
2021-01-18 17:32:4612

使用單片機(jī)實現(xiàn)99.9秒秒表仿真文件免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實現(xiàn)99.9秒秒表仿真文件免費(fèi)下載。
2021-03-19 13:48:0038

基于單片機(jī)的數(shù)字秒表

設(shè)計簡介:本設(shè)計是基于單片機(jī)的數(shù)字秒表的設(shè)計,主要實現(xiàn)以下功能:可實現(xiàn)LCD12864顯示時間以及倒計時、順計時等信息; 可實現(xiàn)通過按鍵調(diào)節(jié)調(diào)節(jié)時間的大小以及實現(xiàn)計時功能; 可實現(xiàn)通過通過語音播報
2021-11-25 17:06:0232

數(shù)字鐘的仿真實現(xiàn)(非單片機(jī))

數(shù)字鐘的仿真實現(xiàn)》第一章、前言電子數(shù)字鐘的應(yīng)用十分廣泛,通過計時精度很高的石英晶振(也可采用衛(wèi)星傳遞的時鐘標(biāo)準(zhǔn)信號),采用相應(yīng)進(jìn)制的計數(shù)器,轉(zhuǎn)化為二進(jìn)制數(shù),經(jīng)過譯碼和顯示電路準(zhǔn)確地將時間
2021-12-29 19:55:4415

Xilinx FPGA的電源設(shè)計和實現(xiàn)方案

  本篇主要介紹Xilinx FPGA的電源設(shè)計,主要包括電源種類、電壓要求、功耗需求,上下電時序要求,常見的電源實現(xiàn)方案等。
2022-10-17 17:43:393073

基于51單片機(jī)數(shù)字秒表proteus仿真設(shè)計

基于51單片機(jī)數(shù)字秒表proteus仿真設(shè)計,資料包含仿真及源程序
2023-04-20 16:16:411

基于單片機(jī)數(shù)碼秒表Proteus仿真程序

基于單片機(jī)數(shù)碼秒表Proteus仿真設(shè)計資料
2023-05-22 15:45:550

在PSIM中進(jìn)行VHDL的聯(lián)合仿真

目前市面上能支持HDL語言聯(lián)合仿真的電源仿真軟件并不多,能支持VHDL聯(lián)合仿真的就更少了,PSIM軟件支持VHDL及verilogHDL聯(lián)合仿真,這樣對于快速驗證HDL實現(xiàn)的新想法是十分便捷的。
2023-05-23 11:38:101714

使用Xilinx FPGA實現(xiàn)OFDM系統(tǒng)

OFDM中調(diào)制使用IFFT,解調(diào)使用IFFT,在OFDM實現(xiàn)系統(tǒng)中,F(xiàn)FT和IFFT時必備的關(guān)鍵模塊。在使用Xilinx的7系列FPGA(KC705)實現(xiàn)OFDM系統(tǒng)時,有以下幾種選擇。
2023-07-10 10:50:52605

已全部加載完成