電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>FPGA對(duì)AD1610采樣的控制 - 基于賽靈思FPGA的空間電場(chǎng)信號(hào)采集系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)

FPGA對(duì)AD1610采樣的控制 - 基于賽靈思FPGA的空間電場(chǎng)信號(hào)采集系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)

上一頁(yè)123全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

7系列FPGA芯片-的“雄韜偉略”

也表明7系列FPGA具有高度的可擴(kuò)展性,可實(shí)現(xiàn)不同的系統(tǒng)性能水平?! ”?7系列FPGA具有高度的可擴(kuò)展性,可實(shí)現(xiàn)不同的系統(tǒng)性能水平  三個(gè)子系列均采用臺(tái)積電和三星HKMG(高介金屬閘
2012-09-21 13:46:16

FPGA LX9 MicroBoard成為學(xué)習(xí)FPGA的另一低成本方法

將一個(gè)邏輯分析儀、系統(tǒng)分析儀和虛擬I/O低級(jí)軟核直接插入你的FPGA設(shè)計(jì),允許你觀察任何內(nèi)部信號(hào)或節(jié)點(diǎn),包括類似MicroBlaze處理器一樣的嵌入式硬處理器或軟處理器。`
2017-02-10 17:12:21

FPGA如何通過(guò)CH368從PCIE與PC實(shí)現(xiàn)數(shù)據(jù)通信?

我畢設(shè)需要做一個(gè)FPGA輸入高速信號(hào)到PCIE口,但是速率過(guò)高不能直接接入,所以買了貴公司的CH368,但是不知道FPGA和CH368之間應(yīng)該怎么實(shí)現(xiàn)通信,有沒(méi)有verilog的例程可以參考,CH368是UART口還是普通串口呢?謝謝了!
2022-10-10 07:10:28

FPGA就像是一張精密的畫布 - DSP 專家給你一個(gè)選擇 FPGA 的理由

使用的軟件和系統(tǒng)工程師們, 現(xiàn)在可以再次把FPGA 開(kāi)發(fā)提到日程上來(lái)了, 因?yàn)?b class="flag-6" style="color: red">賽在解決“易用性”問(wèn)題上已經(jīng)邁出了幾大步,其中包括: 2012 年就發(fā)布了 Vivado 設(shè)計(jì)套件集成環(huán)境,大大
2018-08-13 09:31:45

FPGA就像是一張精密的畫布 - DSP 專家給你一個(gè)選擇 FPGA 的理由

系統(tǒng)了。打個(gè)比喻來(lái)說(shuō),對(duì)于熱愛(ài)樂(lè)高的人來(lái)說(shuō) FPGA 設(shè)計(jì)就像搭積木,對(duì)于愛(ài)涂鴉的我來(lái)說(shuō) FPGA 就像是一張精密的畫布。借助這樣的 FPGA 廠商提供給設(shè)計(jì)師的易用的“畫筆”,有創(chuàng)意的設(shè)計(jì)師就能
2018-08-10 09:16:48

FPGA開(kāi)發(fā)攻略-工程師創(chuàng)新應(yīng)用寶典技巧篇【下】

206.5 利用 EDK工具和IP設(shè)計(jì)多處理器SOC236.6 利用JTAG鏈進(jìn)行更為精確的系統(tǒng)級(jí)和芯片級(jí)功率分析和熱分析 276.7 識(shí)別和解決賽FPGA設(shè)計(jì)中的時(shí)序問(wèn)題34第七章、FPGA設(shè)計(jì)百問(wèn) 40第八章、FPGA開(kāi)發(fā)資源總匯 78第九章、編委信息與后記 79第十章、版權(quán)聲明 80
2012-02-27 15:46:40

FPGA提供快速、簡(jiǎn)單、零風(fēng)險(xiǎn)的成本降低方案

FPGA提供快速、簡(jiǎn)單、零風(fēng)險(xiǎn)的成本降低方案 EasyPath-6 FPGA僅六周即可針對(duì)高性能Virtex-6 FPGA提供快速、簡(jiǎn)單、零風(fēng)險(xiǎn)的成本降低方案公司 (Xilinx
2012-08-11 18:17:16

FPGA是Xilinx好,還是Altera好?

, 你是無(wú)法說(shuō)好或者不好的。就像孩子看電影電視, 常常問(wèn):這個(gè)是好人還是壞人? 我們不能給出精確的結(jié)論。在FPGA市場(chǎng)領(lǐng)域, 公司是FPGA的發(fā)明者, 無(wú)晶圓代工模式的先鋒,也是積極把FPGA
2012-02-28 09:59:27

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開(kāi)發(fā)板是altera的,但是很多人推薦說(shuō)學(xué)習(xí)的好
2016-01-09 21:27:25

FPGA的發(fā)展現(xiàn)狀如何?

FPGA的發(fā)展現(xiàn)狀如何?推出的領(lǐng)域目標(biāo)設(shè)計(jì)平臺(tái)如何簡(jiǎn)化設(shè)計(jì)、縮短開(kāi)發(fā)時(shí)間?
2021-04-08 06:18:44

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)工程師作品】

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn),DSP算法是很多工程師在設(shè)計(jì)過(guò)程中都會(huì)遇到的問(wèn)題,本文將從FPGA設(shè)計(jì)的角度來(lái)講解浮點(diǎn)DSP算法的實(shí)現(xiàn)。FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)工程師最新力作,資料不可多得,大家珍惜啊1FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)[hide][/hide]
2012-03-01 15:23:56

FPGA設(shè)計(jì)大賽

FPGA設(shè)計(jì)大賽和FPGA大賽有什么關(guān)系嗎?分別是什么性質(zhì)的比賽,何時(shí)開(kāi)始何時(shí)結(jié)束?只是在網(wǎng)上參與嗎?
2012-07-06 19:14:44

FPGA設(shè)計(jì)時(shí)序約束指南【工程師力作】

的一條或多條路徑。在 FPGA 設(shè)計(jì)中主要有四種類型的時(shí)序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。FPGA設(shè)計(jì)時(shí)序約束指南[hide][/hide]`
2012-03-01 15:08:40

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開(kāi)發(fā)7系列FPGA及Zynq?–7000 All Programmable SoC的評(píng)估套件Mini-Module Plus 用的電源模塊。安富利公司已經(jīng)開(kāi)發(fā)出多款
2018-12-04 10:02:08

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 一向是FPGA領(lǐng)域里的領(lǐng)先者,運(yùn)用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經(jīng)典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對(duì)DLP數(shù)字影院投影儀產(chǎn)生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數(shù)字影院投影儀產(chǎn)品,均采用了Virtex?-5 FPGA系列產(chǎn)品。
2019-08-19 07:12:03

FPGA設(shè)計(jì)大賽參賽者自評(píng)分表格下載

FPGA設(shè)計(jì)大賽參賽者自評(píng)分表格下載自評(píng)分表填寫指引:參賽者須于提交設(shè)計(jì)作品時(shí)一并呈交自評(píng)分表。每一個(gè)參賽作品最高可獲得10分自評(píng)分。請(qǐng)?jiān)谶m當(dāng)?shù)姆礁裆洗蚬?。參賽者作品自評(píng)分表格下載:[hide
2012-04-24 15:07:27

FPGA設(shè)計(jì)流程詳解

1.XILINX ISE傳統(tǒng)FPGA設(shè)計(jì)流程利用XilinxISE軟件開(kāi)發(fā)FPGA的基本流程包括代碼輸入、功能仿真、綜合、綜合后仿真、實(shí)現(xiàn)、布線后仿真與驗(yàn)證和下班調(diào)試等步驟。如下圖所示。1)電路設(shè)計(jì)
2019-05-03 08:00:00

FPGA該怎么應(yīng)對(duì)內(nèi)窺鏡系統(tǒng)架構(gòu)的挑戰(zhàn)?

  什么是FPGA?如何幫助內(nèi)窺鏡制造商克服復(fù)雜的設(shè)計(jì)約束,生產(chǎn)出極具競(jìng)爭(zhēng)優(yōu)勢(shì)的產(chǎn)品?如何幫助他們成功構(gòu)建外形小巧的低功耗內(nèi)窺鏡攝像頭、高性價(jià)比的攝像機(jī)控制單元(CCU),以及多功能、低成本的圖像管理設(shè)備?  
2019-09-17 06:31:55

fpga設(shè)計(jì)比賽火爆進(jìn)行中

fpga設(shè)計(jì)比賽于4月23日上線,得到了廣大電子工程師特別是fpga愛(ài)好者的大力關(guān)注和廣泛支持。本次大賽支持個(gè)人報(bào)名和團(tuán)體報(bào)名,其中團(tuán)隊(duì)報(bào)名數(shù)量達(dá)到了20個(gè)團(tuán)隊(duì)。還沒(méi)有參加比賽的電子工程師
2012-06-06 14:49:12

ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用?

每一版本都提供了完整的FPGA設(shè)計(jì)流程,并且專門針對(duì)特定的用戶群體(工程師)和特定領(lǐng)域的設(shè)計(jì)方法及設(shè)計(jì)環(huán)境要求進(jìn)行了優(yōu)化。那大家知道ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用嗎?
2019-07-30 06:52:50

Spartan開(kāi)發(fā)板使用困境記錄 精選資料分享

Spartan開(kāi)發(fā)板使用困境記錄原理圖和接口主要是對(duì)照核心板的原理圖,一般的接法就是系列的單片機(jī),連接好電源和下載器,記得預(yù)先安好驅(qū)動(dòng),驅(qū)動(dòng)安裝成功與否能夠在設(shè)備管理器處查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)設(shè)計(jì)小技巧

Verilog(FPGACPLD)設(shè)計(jì)小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供參考時(shí)鐘電路圖

Virtex-6 HXT FPGA ML630評(píng)估套件采用SiTime電子發(fā)燒友振具體型號(hào)為:SIT9102AI-243N25E200.0000,而目前針對(duì)這一型號(hào)sitime推出了抖動(dòng)更低
2014-11-17 15:07:35

Zynq-7000可擴(kuò)展處理平臺(tái)讓編程流程更簡(jiǎn)單

Zynq-7000可擴(kuò)展處理平臺(tái)(EPP)將雙ARM Cortex-A9 MPCore處理器系統(tǒng)與可編程邏輯和硬IP外設(shè)緊密集成在一起,提供了靈活性、可配置性和性能的完美組合。圍繞其剛剛推出
2019-05-16 10:44:42

公司亞太區(qū)銷售與市場(chǎng)副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹(jǐn)代表公司與您分享一個(gè)激動(dòng)人心的喜訊: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量產(chǎn)了!該里程碑式信息的發(fā)布,不僅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自適應(yīng)和智能計(jì)算的全球領(lǐng)先企業(yè)公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,從而進(jìn)一步
2020-11-02 08:34:50

有哪幾種ISE設(shè)計(jì)套件配置版本 ?

有哪幾種ISE設(shè)計(jì)套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么開(kāi)發(fā)工具編程,有沒(méi)有大佬分享一下安裝包

FPGA用什么開(kāi)發(fā)工具編程,有沒(méi)有大佬分享一下安裝包
2018-05-24 17:51:38

的DDR3讀寫地址一直重復(fù)怎么辦?

最近在用的DDR3,用的AXi4接口,我寫入的地址是按照突發(fā)長(zhǎng)度來(lái)的,連續(xù)給8個(gè)讀的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等幾個(gè)地址中
2016-06-24 10:38:18

高性能40nm Virtex-6 FPGA系列通過(guò)全生產(chǎn)驗(yàn)證

【來(lái)源】:《電子設(shè)計(jì)工程》2010年02期【摘要】:<正>公司與聯(lián)華電子共同宣布,采用聯(lián)華電子高性能40nm工藝的Virtex-6FPGA,已經(jīng)完全通過(guò)生產(chǎn)前的驗(yàn)證
2010-04-24 09:06:05

(XILINX)全新7系列FPGA詳述

(XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

EIMKT求購(gòu)Xilinx()微處理器 原裝現(xiàn)貨

。Xilinx()微處理器是全球領(lǐng)先的可編程邏輯完整解決方案的供應(yīng)商,具有廣泛的高級(jí)集成電路、軟件設(shè)計(jì)工具以及作為預(yù)定義系統(tǒng)級(jí)功能的IP核,其產(chǎn)品被廣泛運(yùn)用在無(wú)線電話基站、DVD播放機(jī)的數(shù)字電子應(yīng)用技術(shù)中
2019-10-18 11:46:45

XilinxFPGA技術(shù)及應(yīng)用線上公開(kāi)課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術(shù)及應(yīng)用線上公開(kāi)課。歡迎大家觀看、學(xué)習(xí)交流~分享主題【FPGA人工智能領(lǐng)域技術(shù)及應(yīng)用】嵌入式視覺(jué)領(lǐng)域技術(shù)和解決方案機(jī)器學(xué)習(xí)方面的技術(shù)和解決方案ADAS/自動(dòng)駕駛方面的應(yīng)用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請(qǐng)聯(lián)系
2019-01-21 19:31:40

labview 2010 FPGA

問(wèn)一下哪位使用過(guò)labview 2010 FPGA 編程,除了需要安裝 labview fpga 模塊外,還要安裝什么才可以使用呢?只安裝labview的模塊沒(méi)有硬件實(shí)物,能否實(shí)現(xiàn)labview fpga編的程序下載到公司的fpga開(kāi)發(fā)軟件里面進(jìn)行仿真呢?萬(wàn)分感謝
2012-08-24 10:23:36

”搶樓活動(dòng)第二輪,中獎(jiǎng)樓層公布!

`{:4_122:}{:4_122:}搶樓啦?。 ?b class="flag-6" style="color: red">賽”搶樓活動(dòng)第二輪中獎(jiǎng)樓層公布號(hào)外號(hào)外{:4_104:}:為了答謝各位壇友們的大力支持,我和我的小伙伴們決定在增加5個(gè)中獎(jiǎng)樓層,讓各位中獎(jiǎng)的幾率
2013-10-11 10:40:34

FPGA參賽作品】基于FPGA的簡(jiǎn)易DDS信號(hào)源設(shè)計(jì)

設(shè)計(jì)用大賽要求的芯片,研究基于FPGA的DDS信號(hào)發(fā)生器設(shè)計(jì),實(shí)現(xiàn)了滿足預(yù)定指標(biāo)的多波形輸出。我的設(shè)計(jì)方案在附件中,謝謝
2012-05-12 23:01:54

【AD新聞】新CEO訪華繪藍(lán)圖,7nm ACAP平臺(tái)要讓CPU/GPU難企及

工具已交付給主要客戶。首款“Everest”產(chǎn)品將于2018年實(shí)現(xiàn)流片,于2019年交付給客戶。 方面稱,與當(dāng)今最新的16納米Virtex VU9P FPGA 相比,“Everest”有望將深度
2018-03-23 14:31:40

【PYNQ-Z2申請(qǐng)】基于PYNQ-Z2平臺(tái)的圖像實(shí)時(shí)力學(xué)測(cè)量

PYNQ-Z2平臺(tái)完善該項(xiàng)目的開(kāi)源設(shè)計(jì),并進(jìn)一步提升性能。項(xiàng)目計(jì)劃①根據(jù)文檔,對(duì)賽PYNQ-Z2快速入門②通過(guò)學(xué)習(xí)PYNQ-Z2的軟件和系統(tǒng),了解實(shí)際應(yīng)用案例,熟悉開(kāi)發(fā)過(guò)程③基于PYNQ-Z2
2019-01-09 14:49:25

為什么說(shuō)已經(jīng)遠(yuǎn)遠(yuǎn)領(lǐng)先于Altera?

Altera和20年來(lái)都在FPGA這個(gè)窄眾市場(chǎng)激烈的競(jìng)爭(zhēng)者,然而Peter Larson基于對(duì)兩個(gè)公司現(xiàn)金流折現(xiàn)法的研究表明,是目前FPGA市場(chǎng)的絕對(duì)領(lǐng)先者。
2019-09-02 06:04:21

什么是豐富目標(biāo)設(shè)計(jì)平臺(tái)?

今年年初,率先在FPGA領(lǐng)域提出目標(biāo)設(shè)計(jì)平臺(tái)概念,旨在通過(guò)選用開(kāi)放的標(biāo)準(zhǔn)、通用的開(kāi)發(fā)流程以及類似的設(shè)計(jì)環(huán)境,減少通用工作對(duì)設(shè)計(jì)人員時(shí)間的占用,確保他們能集中精力從事創(chuàng)新性的開(kāi)發(fā)工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎設(shè)計(jì)

MATLAB & Simulink Add-on插件是將 ModelComposer 和 System Generator forDSP完美結(jié)合的統(tǒng)一工具。
2021-01-28 06:33:40

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教
2015-08-07 08:58:08

回收Xilinx芯片 收購(gòu)芯片

回收Xilinx帶板芯片, 回收工廠XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顧Elecfans開(kāi)放日之“跟安富利學(xué)FPGA的工業(yè)應(yīng)用“

Programmable技術(shù),助力智能工業(yè)系統(tǒng)”15:00-15:10 休息時(shí)間15:10-16:30 自由分享+主題討論16:30-17:00 結(jié)束【活動(dòng)獎(jiǎng)品】黑色雙肩包,圓珠筆,筆記本【活動(dòng)咨詢】活動(dòng)咨詢
2013-11-01 13:48:38

FPGA中使用ARM及AMBA總線

國(guó)外的融合技術(shù)專家展示了一項(xiàng)基于FPGA的數(shù)據(jù)采集系統(tǒng),用于合成孔徑成像技術(shù)。采用了Xilinx ISE設(shè)計(jì)軟件,支持ARM AMBA AXI4接口。文風(fēng)犀利,觀點(diǎn)新穎,FPGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

基于FPGA的無(wú)線遠(yuǎn)程溫度監(jiān)測(cè)控制網(wǎng)絡(luò)系統(tǒng)

自動(dòng)進(jìn)行打開(kāi)加熱設(shè)備的操作。目前遇到的困難:1、需要至少3個(gè)溫度傳感器和無(wú)線傳輸模塊、系統(tǒng)。2、FPGA的運(yùn)用需要更加熟悉,特別是沒(méi)有用過(guò)。3、觸屏顯示系統(tǒng),需要運(yùn)用操作系統(tǒng),初步設(shè)想運(yùn)用uC-OSII系統(tǒng)。由于時(shí)間問(wèn)題,觸屏控制系統(tǒng)有可能功能來(lái)不及實(shí)現(xiàn)。謝謝??!
2012-06-01 17:35:08

基于FPGA的EtherCAT主站運(yùn)動(dòng)控制

基于FPGA的EtherCAT主站總線控制 ,論壇有做運(yùn)動(dòng)控制這方面的技術(shù)嗎?目前我已實(shí)現(xiàn)帶32軸同步運(yùn)行,同步抖動(dòng)±75ns,控制精度125us。感興趣的可以一起探討下
2018-07-23 12:00:39

基于FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

作者:Nagesh Gupta 創(chuàng)始人兼 CEOAuviz Systems Nagesh@auvizsystems.com憑借出色的性能和功耗指標(biāo), FPGA 成為設(shè)計(jì)人員構(gòu)建卷積神經(jīng)網(wǎng)絡(luò)
2019-06-19 07:24:41

基于Virtex-5 FPGA的LTE仿真器設(shè)計(jì)

和功能測(cè)試覆蓋了完整LTE協(xié)議棧及其應(yīng)用。射頻前端采用本地多輸入多輸出(MIMO)設(shè)計(jì),可支持5MHz、10MHz、15MHz和20MHz多種不同帶寬。  這個(gè)仿真器中心采用三個(gè)Virtex?-5
2019-06-17 06:36:10

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何利用28納米工藝加速平臺(tái)開(kāi)發(fā)?

全球可編程邏輯解決方案領(lǐng)導(dǎo)廠商公司 (Xilinx Inc.) 宣布,為推進(jìn)可編程勢(shì)在必行之必然趨勢(shì),正對(duì)系統(tǒng)工程師在全球發(fā)布新一代可編程FPGA平臺(tái)。和前代產(chǎn)品相比,全新的平臺(tái)功耗降低
2019-08-09 07:27:00

寬頻ADC中的數(shù)字下變頻研究

高性能GSPSADC為基于FPGA的設(shè)計(jì)解決方案帶來(lái)板載DDC功能寬帶每秒數(shù)千兆個(gè)樣本(GSPS)模數(shù)轉(zhuǎn)換器(ADC) 為高速采集系統(tǒng)帶來(lái)眾多性能優(yōu)勢(shì)。這些ADC在高采樣率和輸入帶寬下提供較寬
2019-07-29 07:14:03

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?這種濾波器在軟件無(wú)線電與數(shù)據(jù)采集類應(yīng)用中都很常見(jiàn)。
2019-08-15 08:21:22

提交FPGA設(shè)計(jì)方案,贏取FPGA開(kāi)發(fā)板

“玩轉(zhuǎn)FPGA:iPad2,開(kāi)發(fā)板等你拿”活動(dòng)持續(xù)火爆進(jìn)行中……………………活動(dòng)得到了廣大電子工程師積極強(qiáng)烈的支持,為了回報(bào)電子工程師和網(wǎng)站會(huì)員,現(xiàn)在只需提交fpga設(shè)計(jì)方案,就有機(jī)會(huì)獲得
2012-07-06 17:24:41

的開(kāi)發(fā)環(huán)境ISE軟件下載地址

剛開(kāi)始學(xué)FPGA,求他的ISE軟件下載地址,我在網(wǎng)上沒(méi)搜到。謝謝了
2012-08-02 09:52:12

海量干貨分享!XDF(開(kāi)發(fā)者大會(huì))北京站各分論壇演講資料公布

2018年 XDF (開(kāi)發(fā)者大會(huì))北京站的全部演講內(nèi)容現(xiàn)已開(kāi)放,現(xiàn)整理供大家下載學(xué)習(xí),以下是本屆 XDF 的各分論壇演講題目與資料。云端分論壇收斂 IO 加速平臺(tái) - Xilinx
2019-01-03 15:19:42

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽圓滿結(jié)束

  三等獎(jiǎng)獎(jiǎng)品: 電子發(fā)燒友T恤+小禮品  大賽獲獎(jiǎng)名單    一等獎(jiǎng)      姚佳毅(yjysdu)——車牌定位號(hào)與識(shí)別系統(tǒng)    二等獎(jiǎng)      馬崇鶴(lerking) ——基于FPGA
2012-09-06 11:52:48

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽獲獎(jiǎng)名單?。?!

本帖最后由 ycq654263138 于 2012-9-12 10:12 編輯   電子發(fā)燒友網(wǎng)訊:由(xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,
2012-09-06 11:54:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽開(kāi)賽啦

經(jīng)歷過(guò)和牛人一起進(jìn)行FPGA設(shè)計(jì)比賽的激烈競(jìng)爭(zhēng)嗎?你感受過(guò)FPGA原廠開(kāi)發(fā)板和fpga行業(yè)泰斗直接帶來(lái)的強(qiáng)烈震撼嗎? 沒(méi)經(jīng)歷過(guò)沒(méi)關(guān)系,電子發(fā)燒友網(wǎng)主辦,贊助的“FPGA方案開(kāi)發(fā)設(shè)計(jì)大賽”已經(jīng)為
2012-04-23 09:31:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽活動(dòng)細(xì)則,參賽必看

本帖最后由 eehome 于 2013-1-5 10:00 編輯 玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽 本次大賽鼓勵(lì)參賽者使用當(dāng)前最受歡迎的熱點(diǎn)技術(shù)領(lǐng)域和熱點(diǎn)芯片為主的方案,來(lái)作為大賽
2012-04-24 14:40:58

FPGA實(shí)現(xiàn)LMS自適應(yīng)濾波

求推薦一款FPGA, 要求實(shí)現(xiàn)LMS自適應(yīng)濾波,較高的處理速度,我數(shù)據(jù)進(jìn)來(lái)的速率 62.5M/s
2013-08-20 17:28:13

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

請(qǐng)問(wèn)FPGA的SoC將朝什么趨勢(shì)發(fā)展?

過(guò)去一年中,FPGA巨頭(Xilinx)在中國(guó)大舉構(gòu)建生態(tài)系統(tǒng),其速度和力度讓人吃驚。2006年末,公司董事會(huì)主席、總裁兼CEOWimRoelandts來(lái)華宣布了“促進(jìn)中國(guó)電子設(shè)計(jì)創(chuàng)新
2019-10-28 06:10:28

這顆是限制料還是翻新料?

絲印查不到系列型號(hào),引腳數(shù)量也對(duì)不上所有型號(hào)規(guī)格,也沒(méi)有韓國(guó)產(chǎn)地
2023-02-24 17:01:32

選擇(Xilinx)FPGA 7系列芯片的N個(gè)理由

  電子發(fā)燒友網(wǎng)訊:FPGA 7系列芯片正以燎原之勢(shì)席卷整個(gè)行業(yè)。在本文,電子發(fā)燒友網(wǎng)小編將帶領(lǐng)大家一起走近Xilinx的FPGA 7系列芯片,從全新FPGA 7系列芯片的介紹、芯片優(yōu)點(diǎn)、芯片
2012-09-06 16:24:35

采用FPGA實(shí)現(xiàn)DisplayPort詳細(xì)教程【內(nèi)部資料】

公司高級(jí)產(chǎn)品營(yíng)銷經(jīng)理 Neal KendallQuantum Data市場(chǎng)營(yíng)銷經(jīng)理采用FPGA實(shí)現(xiàn)DisplayPort詳細(xì)教程【內(nèi)部資料】[hide][/hide]
2012-03-01 11:10:18

采用FPGA實(shí)現(xiàn)多普勒測(cè)振計(jì)信號(hào)采集系統(tǒng)設(shè)計(jì)

針對(duì)遙感系統(tǒng)的工作環(huán)境特點(diǎn)、待處理信號(hào)的頻譜特征以及系統(tǒng)信噪比等要求,綜合比較多種信號(hào)采集系統(tǒng)方案的優(yōu)缺點(diǎn),本文提出了一種基于FPGA的激光多普勒測(cè)振計(jì)信號(hào)采集與處理系統(tǒng)的設(shè)計(jì)方案,該方案可以實(shí)現(xiàn)
2019-06-24 07:16:30

高價(jià)回收系列IC

高價(jià)回收系列IC長(zhǎng)期回收系列IC,高價(jià)求購(gòu)系列IC。深圳帝歐長(zhǎng)期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

高性能GSPS ADC為基于FPGA的設(shè)計(jì)解決方案帶來(lái)板載DDC功能

(DDC)功能在信號(hào)鏈中進(jìn)一步提升,以使其位于基于FPGA的設(shè)計(jì)解決方案的ADC之中。該方案為高速系統(tǒng)架構(gòu)師提供了多種新的設(shè)計(jì)選擇。然而,由于該功能對(duì)ADC來(lái)說(shuō)相對(duì)比較陌生,因此工程師可能就DDC模塊
2019-06-14 05:00:09

:“玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽”獲獎(jiǎng)獎(jiǎng)品展示

  電子發(fā)燒友網(wǎng)訊:由(xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)獲獎(jiǎng)名單已經(jīng)公布,詳見(jiàn):玩轉(zhuǎn)FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA現(xiàn)場(chǎng)可編程邏輯器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封裝240-PQFP批次08+數(shù)量3500濕氣敏感性等級(jí) (MSL)3(168 小時(shí))產(chǎn)品族嵌入式 - FPGA(現(xiàn)場(chǎng)可編程門陣列)系列Spartan?-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD對(duì)中國(guó)FPGA廠商有什么意義?

fpga
小凡發(fā)布于 2022-10-05 02:52:44

基于FPGA的侵徹加速度信號(hào)采集系統(tǒng)設(shè)計(jì)_董勝飛

基于FPGA的侵徹加速度信號(hào)采集系統(tǒng)設(shè)計(jì)_董勝飛
2017-01-13 21:40:362

基于FPGA的振動(dòng)信號(hào)采集系統(tǒng)設(shè)計(jì)及實(shí)現(xiàn)

針對(duì)機(jī)械設(shè)備運(yùn)行中的振動(dòng)監(jiān)控,設(shè)計(jì)振動(dòng)信號(hào)采集系統(tǒng),提出了一種基于FPGA的振動(dòng)信號(hào)采集系統(tǒng)的設(shè)計(jì)方案。重點(diǎn)闡述了系統(tǒng)硬件結(jié)構(gòu)組成、信號(hào)調(diào)理電路和數(shù)據(jù)采集模塊的設(shè)計(jì),同時(shí)對(duì)A/D采樣的控制邏輯進(jìn)行了討論。經(jīng)試驗(yàn)驗(yàn)證表明,該系統(tǒng)可達(dá)到采樣率10 K每秒、采集精度16位,能夠滿足實(shí)時(shí)性和精度要求。
2017-11-17 11:04:385991

基于Zedboard FPGA的VGA圖像信號(hào)采集系統(tǒng)的設(shè)計(jì)

的效果,依據(jù)該原理,可以實(shí)現(xiàn)圖像的采集及在VGA顯示屏上顯示的實(shí)現(xiàn)。利用FPGA產(chǎn)生VGA時(shí)序信號(hào)和發(fā)送圖像信息,并將其作為圖像信號(hào)采集系統(tǒng),將大大減小圖像開(kāi)發(fā)的難度和投入。
2017-11-18 12:42:022114

如何使用ARM處理器和FPGA進(jìn)行高速信號(hào)采集系統(tǒng)設(shè)計(jì)

本文提出了一種實(shí)現(xiàn)信號(hào)采集方案,介紹了由ARM 處理器S3C2410 和EP2C8 FPGA 組成的高速信號(hào)采集系統(tǒng)系統(tǒng)設(shè)計(jì),并著重介紹前端硬件的設(shè)計(jì),并就ARM 處理器和FPGA 的互聯(lián)設(shè)計(jì)進(jìn)行探討。利用FPGA 硬件控制A/D 轉(zhuǎn)換,達(dá)到了較好的效果,實(shí)現(xiàn)信號(hào)采集與存儲(chǔ)。
2018-11-02 15:46:0110

如何使用FPGA實(shí)現(xiàn)多路模擬信號(hào)自適應(yīng)采集系統(tǒng)

主要介紹基于FPGA實(shí)現(xiàn)多路模擬信號(hào)自適應(yīng)采集系統(tǒng)的設(shè)計(jì)。該系統(tǒng)主要包括軟件和硬件兩部分:硬件主要采用FPGA芯片,AD7982—1,ADG406和運(yùn)放AD824來(lái)搭建硬件平臺(tái);軟件包括FPGA程序
2021-02-02 15:52:345

已全部加載完成