您編寫的代碼是不是雖然在仿真器中表現(xiàn)正常,但是在現(xiàn)場(chǎng)卻斷斷續(xù)續(xù)出錯(cuò)?要不然就是有可能在您使用更高版本的工具鏈進(jìn)行編譯時(shí),它開始出錯(cuò)。您檢查自己的測(cè)試平臺(tái),并確認(rèn)測(cè)試已經(jīng)做到100%的完全覆蓋,而且所有測(cè)試均未出現(xiàn)任何差錯(cuò),但是問題仍然頑疾難除。
雖然設(shè)計(jì)人員極其重視編碼和仿真,但是他們對(duì)芯片在FGPA中的內(nèi)部操作卻知之甚少,這是情有可原的。因此,不正確的邏輯綜合和時(shí)序問題(而非邏輯錯(cuò)誤)成為大多數(shù)邏輯故障的根源。
但是,只要設(shè)計(jì)人員措施得當(dāng),就能輕松編寫出能夠創(chuàng)建可預(yù)測(cè)、可靠邏輯的FPGA代碼。
在FPGA設(shè)計(jì)過程中,需要在編譯階段進(jìn)行邏輯綜合與相關(guān)時(shí)序收斂。而包括I/O單元結(jié)構(gòu)、異步邏輯和時(shí)序約束等眾多方面,都會(huì)對(duì)編譯進(jìn)程產(chǎn)生巨大影響,致使其每一輪都會(huì)在工具鏈中產(chǎn)生不同的結(jié)果。為了更好、更快地完成時(shí)序收斂,我們來進(jìn)一步探討如何消除這些差異。
I/O 單元結(jié)構(gòu)
所有FPGA都具有可實(shí)現(xiàn)高度定制的I/O引腳。定制會(huì)影響到時(shí)序、驅(qū)動(dòng)強(qiáng)度、終端以及許多其它方面。如果您未明確定義I/O單元結(jié)構(gòu),則您的工具鏈往往會(huì)采用您預(yù)期或者不希望采用的默認(rèn)結(jié)構(gòu)。如下VHDL代碼的目的是采用“sda: inout std_logic;”聲明創(chuàng)建一個(gè)稱為 sda 的雙向I/O緩沖器。
tri_state_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenif (enable_in = '1') thensda <= data_in;elsedata_out <= sda;sda <= 'Z';end if;end if;END PROCESS tri_state_proc;
當(dāng)綜合工具發(fā)現(xiàn)這組代碼時(shí),其中缺乏如何實(shí)施雙向緩沖器的明確指示。因此,工具會(huì)做出最合理的猜測(cè)。
實(shí)現(xiàn)上述任務(wù)的一種方法是, 在FPGA的I/O環(huán)上采用雙向緩沖器(事實(shí)上,這是一種理想的實(shí)施方式)。另一種選擇是采用三態(tài)輸出緩沖器和輸入緩沖器,二者都在查詢表 (LUT) 邏輯中實(shí)施。最后一種可行方法是,在I/O環(huán)上采用三態(tài)輸出緩沖器,同時(shí)在LUT中采用輸入緩沖器,這是大多數(shù)綜合器選用的方法。
這三種方法都可以生成有效邏輯,但是后兩種實(shí)施方式會(huì)在I/O引腳與LUT之間傳輸信號(hào)時(shí)產(chǎn)生更長(zhǎng)的路由延遲。此外,它們還需要附加的時(shí)序約束,以確保時(shí)序收斂。FPGA編輯器清晰表明:在圖1中,我們的雙向I/O有一部分散布在I/O緩沖器之外。
教訓(xùn)是切記不要讓綜合工具猜測(cè)如何實(shí)施代碼的關(guān)鍵部分。即使綜合后的邏輯碰巧達(dá)到您的預(yù)期,在綜合工具進(jìn)入新版本時(shí)情況也有可能發(fā)生改變。
應(yīng)當(dāng)明確定義您的I/O邏輯和所有關(guān)鍵邏輯。以下VHDL代碼顯示了如何采用Xilinx? IOBUF原語對(duì)I/O緩沖器進(jìn)行隱含定義。另外需要注意的是,采用相似方式明確定義緩沖器的所有電氣特性。
sda_buff: IOBUFg e n e r i c m a p ( I O S TANDARD =>"LVCMOS25",IFD_DELAY_VALUE => "0", DRIVE =>12,SLEW => "SLOW")port map(o=> data_out, io=> sda,i=> data_in, t=> enable_in);
在圖2中,F(xiàn)PGA編輯器明確顯示,我們已完全在I/O緩沖器內(nèi)部實(shí)施了雙向I/O。
異步邏輯的劣勢(shì)異步代碼會(huì)產(chǎn)生難以約束、仿真及調(diào)試的邏輯。異步邏輯往往產(chǎn)生間歇性錯(cuò)誤,而且這些錯(cuò)誤幾乎無法重現(xiàn)。另外,無法生成用于檢測(cè)異步邏輯所導(dǎo)致的錯(cuò)誤的測(cè)試平臺(tái)。
雖然異步邏輯看起來可能容易檢測(cè),但是,事實(shí)上它經(jīng)常不經(jīng)檢測(cè);因此,設(shè)計(jì)人員必須小心異步邏輯在設(shè)計(jì)中隱藏的許多方面。所有鐘控邏輯都需要一個(gè)最短建立與保持時(shí)間,而且這一點(diǎn)同樣適用于觸發(fā)器的復(fù)位輸入。以下代碼采用異步復(fù)位。在此無法為了滿足觸發(fā)器的建立與保持時(shí)間需求而應(yīng)用時(shí)序約束。
data_proc : PROCESS (sys_clk,reset)BEGINif (reset = '1') thendata_in <= '0';elsif rising_edge(sys_clk) thendata_in <= serial_in;end if;END PROCESS data_proc;
下列代碼采用同步復(fù)位。但是,大多數(shù)系統(tǒng)的復(fù)位信號(hào)都可能是按鍵開關(guān),或是與系統(tǒng)時(shí)鐘無關(guān)的其它信號(hào)源。盡管復(fù)位信號(hào)大部分情況是靜態(tài)的,而且長(zhǎng)期處于斷言或解除斷言狀態(tài),不過其水平仍然會(huì)有所變化。相當(dāng)于系統(tǒng)時(shí)鐘上升沿,復(fù)位解除斷言可以違反觸發(fā)器的建立時(shí)間要求,而對(duì)此無法約束。
data_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenif (reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
只要我們明白無法直接將異步信號(hào)饋送到我們的同步邏輯中,就很容易解決這個(gè)問題。以下代碼創(chuàng)建一個(gè)稱sys_reset 的新復(fù)位信號(hào),其已經(jīng)與我們的系統(tǒng)時(shí)鐘sys_clk同步化。在異步邏輯采樣時(shí)會(huì)產(chǎn)生亞穩(wěn)定性問題。我們可以采用與階梯的前幾級(jí)進(jìn)行了‘與’運(yùn)算的梯形采樣降低此問題的發(fā)生幾率。
data_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk) thenif (sys_reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
至此,假定您已經(jīng)慎重實(shí)現(xiàn)了所有邏輯的同步化。不過,如果您不小心,則您的邏輯很容易與系統(tǒng)時(shí)鐘脫節(jié)。切勿讓您的工具鏈?zhǔn)褂孟到y(tǒng)時(shí)鐘所用的本地布線資源。那樣做的話您就無法約束自己的邏輯。切記要明確定義所有的重要邏輯。
以下VHDL代碼采用賽靈思 BUFG原語強(qiáng)制sys_clk進(jìn)入驅(qū)動(dòng)低延遲網(wǎng)絡(luò)(low-skew net) 的專用高扇出緩沖器。
gclk1: BUFG port map (I => sys_clk,O=> sys_clk_bufg);data_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
某些設(shè)計(jì)采用單個(gè)主時(shí)鐘的分割版本來處理反序列化數(shù)據(jù)。以下VHDL代碼(nibble_proc進(jìn)程)舉例說明了按系統(tǒng)時(shí)鐘頻率的四分之一采集的數(shù)據(jù)。
data_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thentwo_bit_counter <= "00";divide_by_4 <= '0';nibble_wide_data <= "0000";elsetwo_bit_counter<= two_bit_counter + 1;divide_by_4 <= two_bit_counter(0) andtwo_bit_counter(1);nibble_wide_data(0)<= serial_in;nibble_wide_data(1)<= nibble_wide_data(0);nibble_wide_data(2)<= nibble_wide_data(1);nibble_wide_data(3)<= nibble_wide_data(2);end if;end if;END PROCESS data_proc;nibble_proc : PROCESS (divide_by_4)BEGINif rising_edge(divide_by_4) thenif (sys_reset = '1') thennibble_data_in <= "0000";elsenibble_data_in<= nibble_wide_data;end if;end if;END PROCESS nibble_proc;
看起來好像一切都已經(jīng)同步化,但是nibble_proc采用乘積項(xiàng)divide_by_4對(duì)來自時(shí)鐘域sys_clk_bufg的 nibble_wide_data進(jìn)行采樣。由于路由延遲,divde_by_4與sys_clk_bufg之間并無明確的相位關(guān)系。將divide_by_4轉(zhuǎn)移到BUFG也于事無補(bǔ),因?yàn)榇诉M(jìn)程會(huì)產(chǎn)生路由延遲。解決方法是將nibble_proc保持在sys_clk_bufg域,并且采用divide_by_4作為限定符,如下所示。
nibble_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thennibble_data_in <= "0000";elsif (divide_by_4 = '1') thennibble_data_in<= nibble_wide_data;end if;end if;END PROCESS nibble_proc
時(shí)序約束的重要性
如果您希望自己的邏輯正確運(yùn)行,則必須采用正確的時(shí)序約束。如果您已經(jīng)慎重確保代碼全部同步且注冊(cè)了全部I/O,則這些步驟可以顯著簡(jiǎn)化時(shí)序收斂。在采用上述代碼并且假定系統(tǒng)時(shí)鐘為100MHz時(shí),則只需四行代碼就可以輕松完成時(shí)序約束文件,如下所示:
NET sys_clk_bufg TNM_NET =sys_clk_bufg;TIMESPEC TS_sys_clk_bufg = PERIODsys_clk_bufg 10 ns HIGH 50%;OFFSET = IN 6 ns BEFORE sys_clk;OFFSET = OUT 6 ns AFTER sys_clk;
請(qǐng)注意:賽靈思FPGA中I/O注冊(cè)邏輯的建立與保持時(shí)間具有很高的固定性,在一個(gè)封裝中切勿有太大更改。但是,我們?nèi)匀徊捎盟鼈?,主要用作可確保設(shè)計(jì)符合其系統(tǒng)參數(shù)的驗(yàn)證步驟。
三步簡(jiǎn)單操作
僅需遵循以下三步簡(jiǎn)單操作,設(shè)計(jì)人員即可輕松實(shí)施可靠的代碼。
? 切勿讓綜合工具猜測(cè)您的預(yù)期。采用賽靈思原語對(duì)所有 I/O 引腳和關(guān)鍵邏輯進(jìn)行明確定義。確保定義 I/O 引腳的電氣特性;? 確保邏輯 100% 同步,并且讓所有邏輯參考主時(shí)鐘域;? 應(yīng)用時(shí)序約束確保時(shí)序收斂。
只要遵循上述三個(gè)步驟,您就能夠消除綜合與時(shí)序?qū)е碌牟町?。掃除這兩個(gè)主要障礙會(huì)讓您獲得具有100%可靠性的代碼。
編寫具有100%可靠性代碼的幾個(gè)技巧
- FPGA(591969)
- 賽靈思(130433)
相關(guān)推薦
3G核心網(wǎng)高可靠性設(shè)計(jì)方法有哪些?
3G核心網(wǎng)網(wǎng)元是什么?為什么要提高3G核心網(wǎng)高的可靠性設(shè)計(jì)?3G核心網(wǎng)高可靠性設(shè)計(jì)方法有哪些?
2021-05-25 07:04:23
可靠性與失效分析
和電子輔料等可靠性應(yīng)用場(chǎng)景方面具有專業(yè)的檢測(cè)、分析和試驗(yàn)?zāi)芰?,可為各研究院所、高校、企業(yè)提供產(chǎn)品的可靠性檢測(cè)、失效分析、老化測(cè)試等一體化服務(wù)。本中心目前擁有各類可靠性檢測(cè)分析儀器,其中包括
2018-06-04 16:13:50
可靠性工程技術(shù)簡(jiǎn)介
定取決于對(duì)產(chǎn)品進(jìn)行FMEA的總體要求和產(chǎn)品的實(shí)際情況。 3. 建立功能框圖。建立各模塊的功能框圖,并對(duì)各方框的功能給予標(biāo)記代碼,以便進(jìn)行分析。 4. 建立可靠性框圖。根據(jù)功能框圖建立相應(yīng)的可靠性框圖,以便
2011-11-24 16:28:03
可靠性是什么?
可靠性是什么?充實(shí)一下這方面的知識(shí) 產(chǎn)品、系統(tǒng)在規(guī)定的條件下,規(guī)定的時(shí)間內(nèi),完成規(guī)定功能的能力稱為可靠性。 這里的產(chǎn)品可以泛指任何系統(tǒng)、設(shè)備和元器件。產(chǎn)品可靠性定義的要素是三個(gè)“規(guī)定”:“規(guī)定
2015-08-04 11:04:27
可靠性檢技術(shù)及可靠性檢驗(yàn)職業(yè)資格取證
中國(guó)電子電器可靠性工程協(xié)會(huì) 關(guān)于舉辦“可靠性檢技術(shù)及可靠性檢驗(yàn)職業(yè)資格取證”培訓(xùn)班的通知各有關(guān)單位: 根據(jù)《中華人民共和國(guó)勞動(dòng)法》勞動(dòng)和社會(huì)保障部《招用技術(shù)工種
2010-08-27 08:25:03
可靠性匯編
電子可靠性資料匯編內(nèi)容: 降額設(shè)計(jì)規(guī)范;電子工藝設(shè)計(jì)規(guī)范;電氣設(shè)備安全通用要求設(shè)計(jì)規(guī)范 ;嵌入式
2010-10-04 22:31:56
可靠性管理概要
管理的特點(diǎn)以上管理活動(dòng)的五項(xiàng)內(nèi)容是互相、互相滲透、相輔相成的。可靠性管理在五項(xiàng)活動(dòng)內(nèi)容中具有的特點(diǎn)是,更突出了它的綜合性、時(shí)間性和統(tǒng)計(jì)性。(1)綜合性:它不是單純從一個(gè)方面來衡量產(chǎn)品的優(yōu)劣,而是從整體上
2009-05-24 16:49:57
可靠性設(shè)計(jì)分析系統(tǒng)
可靠性是我們?cè)陂_展電子產(chǎn)品設(shè)計(jì)過程中常常繞不開的問題。例如,客戶需要我們提供相關(guān)的可靠性預(yù)計(jì)報(bào)告,客戶需要我們的產(chǎn)品提供相應(yīng)的可靠性試驗(yàn)報(bào)告,或者企業(yè)內(nèi)部需要控制產(chǎn)品質(zhì)量,制定了一系列的可靠性工作
2017-12-08 10:47:19
可靠性驗(yàn)證
當(dāng)組件上板后進(jìn)行一系列的可靠性驗(yàn)證,可靠性驗(yàn)證過程中產(chǎn)品失效時(shí),透過板階整合失效分析能快速將失效接口找出,宜特協(xié)助客戶厘清真因后能快速改版重新驗(yàn)證來達(dá)到產(chǎn)品通過驗(yàn)證并如期上市。 透過板階整合失效分析
2018-08-28 16:32:38
GaN可靠性的測(cè)試
作者:Sandeep Bahl 最近,一位客戶問我關(guān)于氮化鎵(GaN)可靠性的問題:“JEDEC(電子設(shè)備工程聯(lián)合委員會(huì))似乎沒把應(yīng)用條件納入到開關(guān)電源的范疇。我們將在最終產(chǎn)品里使用的任何GaN器件
2018-09-10 14:48:19
LED驅(qū)動(dòng)電源可靠性設(shè)計(jì)的研究
000 h.所以LED 燈照明裝置能否應(yīng)用推廣的關(guān)鍵環(huán)節(jié)之一是其驅(qū)動(dòng)電源問題,筆者就提高大功率( 100 ~ 200 W) 驅(qū)動(dòng)電源的可靠性從如下兩大方面進(jìn)行了探討?! ? 防水及降溫處理 大功率LED
2019-06-01 15:47:32
LabVIEW開發(fā)的測(cè)試環(huán)境要如何驗(yàn)證自身的可靠性呢
LabVIEW開發(fā)的測(cè)試環(huán)境要如何驗(yàn)證自身的可靠性呢?這個(gè)環(huán)境是用來測(cè)試汽車儀表用的,可是不能保證環(huán)境自身的可靠性,那么測(cè)試的結(jié)果也就沒有意義了。請(qǐng)高人指點(diǎn)下~??!
2017-09-26 08:07:49
PCB設(shè)計(jì)中電路可靠性設(shè)計(jì)準(zhǔn)則
誰來闡述一下PCB設(shè)計(jì)中電路可靠性設(shè)計(jì)準(zhǔn)則?
2020-01-10 15:55:08
SiC-MOSFET的可靠性
半導(dǎo)體的測(cè)試方法,其可靠性試驗(yàn)結(jié)果如下。從結(jié)果可以看出,ROHM的SiC-MOSFET具有足夠的可靠性。關(guān)鍵要點(diǎn):?ROHM的SiC-MOSFET與已經(jīng)普及的Si-MOSFET具有相同的可靠性。
2018-11-30 11:30:41
TCP協(xié)議如何保證可靠性呢
strcpy()函數(shù)標(biāo)準(zhǔn)該如何去實(shí)現(xiàn)呢?TCP協(xié)議如何保證可靠性呢?
2021-12-24 06:10:04
[原創(chuàng)]可靠性
電子可靠性資料匯編內(nèi)容: 降額設(shè)計(jì)規(guī)范;電子工藝設(shè)計(jì)規(guī)范;電氣設(shè)備安全通用要求設(shè)計(jì)規(guī)范 ;嵌入式
2010-10-04 22:34:14
《電路可靠性案例征文》大賽
《電路可靠性案例征文》大賽主辦方:硬件十萬個(gè)為什么信號(hào)完整性 電子發(fā)燒友論文形式:不限參加方式:文檔發(fā)送到微信號(hào)elecfans008評(píng)選標(biāo)準(zhǔn):案例由《硬件十萬個(gè)為什么》《信號(hào)完整性》《電子發(fā)燒友
2016-09-03 15:35:31
【可靠性分析第一步】構(gòu)造可靠性模型
的,其位置不能變動(dòng),而系統(tǒng)的可靠性框圖是根據(jù)各組成部分的故障對(duì)系統(tǒng)的影響來構(gòu)成的,其位置在何處是沒有關(guān)系的。 1、 串聯(lián)系統(tǒng) 串聯(lián)結(jié)構(gòu)的系統(tǒng)是由幾個(gè)功能器件(部件)組成,其中任何一個(gè)器件(部件
2016-09-03 15:47:58
【PCB】什么是高可靠性?
專業(yè)學(xué)術(shù)組織——可靠性技術(shù)組。1950年12月,美國(guó)成立了“電子設(shè)備可靠性專門委員會(huì)”,軍方、武器制造公司及學(xué)術(shù)界開始介入可靠性研究,到1952年3月便提出了具有深遠(yuǎn)影響的建議;研究成果首先應(yīng)用于航天
2020-07-03 11:09:11
【技術(shù)貼】關(guān)于5個(gè)9可靠性的疑惑
:不建議直接將可靠性預(yù)計(jì)值直接代入計(jì)算可用性,有條件的情況下,為了更接近現(xiàn)場(chǎng),通常使用加速測(cè)試方案卡方分布單側(cè)置信得出的MTBF值進(jìn)行計(jì)算。說來也巧,在解答了上面的疑問后看到了“可靠性幾個(gè)9
2018-03-05 13:10:23
為什么華秋要做高可靠性?
及品控規(guī)范,剖析可靠性的關(guān)鍵影響因素,定位可靠性的關(guān)鍵管控流程,建立可靠性定向提升體系,用行動(dòng)踐行承諾,全方位保障PCB的高可靠性。1)企業(yè)愿景:創(chuàng)造一家具有高度社會(huì)責(zé)任感的企業(yè)立壯志以成就客戶!“華
2020-07-08 17:10:00
什么是高可靠性?
1952年3月便提出了具有深遠(yuǎn)影響的建議;研究成果首先應(yīng)用于航天、軍事、電子等軍工工業(yè),隨后逐漸擴(kuò)展到民用工業(yè)。60年代,隨著航空航天工業(yè)的迅速發(fā)展,可靠性設(shè)計(jì)和試驗(yàn)方法被接受和應(yīng)用于航空電子系統(tǒng)中
2020-07-03 11:18:02
企業(yè)設(shè)計(jì)的可靠性有哪幾種測(cè)試方法
基于行業(yè)標(biāo)準(zhǔn)、國(guó)家標(biāo)準(zhǔn)的可靠性測(cè)試方法企業(yè)設(shè)計(jì)的可靠性測(cè)試方法
2021-03-08 07:55:20
單片機(jī)應(yīng)用系統(tǒng)的可靠性與可靠性設(shè)計(jì)
現(xiàn)代電子系統(tǒng)的可靠性現(xiàn)代電子系統(tǒng)具有如下特點(diǎn):嵌入式的計(jì)算機(jī)系統(tǒng).智能化的體系結(jié)構(gòu);以計(jì)算機(jī)為核心的柔性硬件基礎(chǔ),由軟件實(shí)現(xiàn)系統(tǒng)的功能;硬件系統(tǒng)有微電子技術(shù)的有力支持。單片機(jī)應(yīng)用系統(tǒng)是當(dāng)前最典型、最廣
2021-01-11 09:34:49
單片機(jī)應(yīng)用系統(tǒng)的可靠性特點(diǎn)
可靠性設(shè)計(jì)是單片機(jī)應(yīng)甩系統(tǒng)設(shè)計(jì)必不可少的設(shè)計(jì)內(nèi)容。本文從現(xiàn)代電子系統(tǒng)的可靠性出發(fā),詳細(xì)論述了單片機(jī)應(yīng)用系統(tǒng)的可靠性特點(diǎn)。提出了芯片選擇、電源設(shè)計(jì)、PCB制作、噪聲失敏控制、程序失控回復(fù)等集合硬件系統(tǒng)
2021-02-05 07:57:48
基于FPGA的時(shí)統(tǒng)模塊可靠性該怎么設(shè)計(jì)?
高可靠性永遠(yuǎn)是計(jì)算機(jī)系統(tǒng)中必不可少的重要需求,尤其是對(duì)于整個(gè)系統(tǒng)中用來產(chǎn)生統(tǒng)一時(shí)間信號(hào)的專用設(shè)備來說,其可靠性和精準(zhǔn)性非常重要。時(shí)統(tǒng)模塊的功能就是保證整個(gè)系統(tǒng)處在統(tǒng)一時(shí)間的基準(zhǔn)上,它接收時(shí)統(tǒng)站發(fā)來
2019-08-26 06:27:46
基于集成電路的高可靠性電源設(shè)計(jì)
高可靠性系統(tǒng)設(shè)計(jì)包括使用容錯(cuò)設(shè)計(jì)方法和選擇適合的組件,以滿足預(yù)期環(huán)境條件并符合標(biāo)準(zhǔn)要求。本文專門探討實(shí)現(xiàn)高可靠性電源的半導(dǎo)體解決方案,這類電源提供冗余、電路保護(hù)和遠(yuǎn)程系統(tǒng)管理。本文將突出顯示,半導(dǎo)體技術(shù)的改進(jìn)和新的安全功能怎樣簡(jiǎn)化了設(shè)計(jì),并提高了組件的可靠性。
2019-07-25 07:28:32
如何克服ACS測(cè)試系統(tǒng)和SMU的可靠性測(cè)試挑戰(zhàn)?
如何克服ACS測(cè)試系統(tǒng)和SMU的可靠性測(cè)試挑戰(zhàn)?
2021-05-11 06:11:18
如何利用FPGA新特性提升汽車系統(tǒng)高可靠性?
目前,汽車中使用的復(fù)雜電子系統(tǒng)越來越多,而汽車系統(tǒng)的任何故障都會(huì)置乘客于險(xiǎn)境,這就要求設(shè)計(jì)出具有“高度可靠性”的系統(tǒng)。同時(shí),由于FPGA能夠集成和實(shí)現(xiàn)復(fù)雜的功能,因而系統(tǒng)設(shè)計(jì)人員往往傾向于在這些系統(tǒng)中采用FPGA。
2019-09-27 07:45:33
如何實(shí)現(xiàn)高可靠性電源的半導(dǎo)體解決方案
高可靠性系統(tǒng)設(shè)計(jì)包括使用容錯(cuò)設(shè)計(jì)方法和選擇適合的組件,以滿足預(yù)期環(huán)境條件并符合標(biāo)準(zhǔn)要求。本文專門探討實(shí)現(xiàn)高可靠性電源的半導(dǎo)體解決方案,這類電源提供冗余、電路保護(hù)和遠(yuǎn)程系統(tǒng)管理。本文將突出顯示,半導(dǎo)體技術(shù)的改進(jìn)和新的安全功能怎樣簡(jiǎn)化了設(shè)計(jì),并提高了組件的可靠性。
2021-03-18 07:49:20
如何才能獲取高可靠性的印制板?
本文擬從印制板下游用戶安裝后質(zhì)量、直接用戶調(diào)試質(zhì)量和產(chǎn)品使用質(zhì)量三方面研究印制板的可靠性,從而表征出印制板加工質(zhì)量的優(yōu)劣并提供生產(chǎn)高可靠性印制板的基本途徑。
2021-04-21 06:38:19
如何提高數(shù)據(jù)采集系統(tǒng)的實(shí)時(shí)性與可靠性?
PMU的原理是什么?如何提高數(shù)據(jù)采集系統(tǒng)的實(shí)時(shí)性與可靠性?
2021-05-12 06:45:42
如何提高航空插頭的可靠性?
:航空插頭的可靠性主要是固有可靠性和使用可靠性這兩個(gè)方面。航空插頭的固有可靠性主要是指電連接器制造完成時(shí)所具有的可靠性,主要受電連接器的設(shè)計(jì)、工藝、制造、治理和原材料性能等諸多因素決定。電連接器在制作
2017-08-01 17:14:15
射頻連接器可靠性如何提高
1 引言射頻連接器的可靠性問題是整機(jī)或系統(tǒng)使用單非常關(guān)心和重視的問題。這是因?yàn)樯漕l連接器作為一種元件應(yīng)用在整機(jī)或系統(tǒng)中,它的可靠性直接影響或決定著整機(jī)或系統(tǒng)的可靠性。射頻連接器的可靠性與其結(jié)構(gòu)設(shè)計(jì)
2019-07-10 08:04:30
嵌入式系統(tǒng)產(chǎn)品的可靠性
通常來講,在復(fù)雜的處理器選擇中,最初的工程評(píng)估主要集中在性能和成本方面。然而,工業(yè)設(shè)備制造商的可靠性工程師看重的則是一整套不同的產(chǎn)品規(guī)格;這些規(guī)格主要側(cè)重于避免并管理這些錯(cuò)誤。對(duì)于諸如航空航天、軍事
2018-08-30 14:43:15
嵌入式軟件的可靠性測(cè)試與可靠性增長(zhǎng)評(píng)估
關(guān)于嵌入式等軟件可靠性、安全性測(cè)試與評(píng)估的資料,希望有幫助。
2019-06-17 16:53:48
開關(guān)電源設(shè)計(jì)的可靠性研究
波形呈矩形,其上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復(fù)也會(huì)產(chǎn)生電磁干擾(EMI),這是影響可靠性的不利因素,這使得系統(tǒng)具有電磁兼容性成為重要問題。其產(chǎn)生電磁干擾有三個(gè)必要條件:干擾源
2018-09-25 18:10:52
開發(fā)高可靠性嵌入式系統(tǒng)的技巧有哪些?
盡管許多嵌入式工程師充滿了希望和夢(mèng)想,但高可靠性的代碼不是一蹴而就的。它是一個(gè)艱苦的過程,需要開發(fā)人員維護(hù)和管理系統(tǒng)的每個(gè)比特和字節(jié)。當(dāng)一個(gè)應(yīng)用程序被確認(rèn)為“成功”的那一刻,通常會(huì)有一種如釋重負(fù)
2019-09-29 08:10:15
影響硬件可靠性的因素
。因此,硬件可靠性設(shè)計(jì)在保證元器件可靠性的基礎(chǔ)上,既要考慮單一控制單元的可靠性設(shè)計(jì),更要考慮整個(gè)控制系統(tǒng)的可靠性設(shè)計(jì)。
2021-01-25 07:13:16
提高PCB設(shè)備可靠性的幾個(gè)方法?
金百澤技術(shù)團(tuán)隊(duì)總結(jié)了提高PCB設(shè)備可靠性的技術(shù)措施:方案選擇、電路設(shè)計(jì)、電路板設(shè)計(jì)、結(jié)構(gòu)設(shè)計(jì)、元器件選用、制作工藝等多方面著手,具體措施如下: (1)簡(jiǎn)化方案設(shè)計(jì)。方案設(shè)計(jì)時(shí),在確保設(shè)備滿足技術(shù)
2014-10-20 15:09:29
提高PCB設(shè)備可靠性的具體措施
提高PCB設(shè)備可靠性的技術(shù)措施:方案選擇、電路設(shè)計(jì)、電路板設(shè)計(jì)、結(jié)構(gòu)設(shè)計(jì)、元器件選用、制作工藝等多方面著手,具體措施如下: (1)簡(jiǎn)化方案設(shè)計(jì)。方案設(shè)計(jì)時(shí),在確保設(shè)備滿足技術(shù)、性能指標(biāo)的前提下,應(yīng)盡
2018-09-21 14:49:10
提高開關(guān)電源可靠性的技巧
上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復(fù)也會(huì)產(chǎn)生電磁干擾 (EMI),這是影響可靠性的不利因素,這使得系統(tǒng)具有電磁兼容性成為重要問題。其產(chǎn)生電磁干擾有三個(gè)必要條件:干擾源、傳輸介質(zhì)
2018-10-09 14:11:30
提高電源可靠性設(shè)計(jì)的建議
電子產(chǎn)品的質(zhì)量是技術(shù)性和可靠性兩方面的綜合。電源作為一個(gè)電子系統(tǒng)中重要的部件,其可靠性決定了整個(gè)系統(tǒng)的可靠性,開關(guān)電源由于體積小,效率高而在各個(gè)領(lǐng)域得到廣泛應(yīng)用,如何提高它的可靠性是電力電子技術(shù)
2018-10-09 14:37:18
機(jī)電產(chǎn)品的可靠性探討
隨著科學(xué)技術(shù)的迅速發(fā)展,機(jī)電產(chǎn)品在國(guó)防、工業(yè)、農(nóng)業(yè)、商業(yè)、科研和民用等方面的應(yīng)用種類越來越多,而且都離不開電源技術(shù)和其它技術(shù)的應(yīng)用。如果在其應(yīng)用中忽略了可靠性管理,機(jī)電產(chǎn)品的質(zhì)量也不會(huì)得到保證
2011-03-10 14:32:20
汽車車燈的可靠性測(cè)試要求標(biāo)準(zhǔn)
幫幫忙。一般汽車車燈的可靠性標(biāo)準(zhǔn)要求是怎么樣的?像高溫測(cè)試是測(cè)多少度多久的。像前大燈和霧燈,尾燈這類的
2014-05-05 23:27:49
淺析無線通信產(chǎn)品的各個(gè)階段可靠性預(yù)計(jì)與實(shí)現(xiàn)
0、引言電子產(chǎn)品的可靠性預(yù)計(jì)一直是困擾各個(gè)無線通信公司的難題之一,目前比較通用的可靠性預(yù)計(jì)方法是由貝爾實(shí)驗(yàn)室在2001年推出的Bellcore-SR332方法。該方法的不足之處在于它僅根據(jù)產(chǎn)品
2019-06-19 08:24:45
淺談手機(jī)環(huán)境可靠性試驗(yàn)
手機(jī)環(huán)境可靠性試驗(yàn)的目的 產(chǎn)品可靠性是設(shè)計(jì)和制造出來的,但必須通過試驗(yàn)予以驗(yàn)證。在手機(jī)的研制階段,為了保證手機(jī)具有一定的可靠性水平或提高手機(jī)的可靠性,要通過可靠性增長(zhǎng)試驗(yàn)暴露手機(jī)的缺陷,進(jìn)而進(jìn)行分析
2009-11-13 22:31:55
環(huán)境試驗(yàn)與可靠性試驗(yàn)的區(qū)別
深圳市華耀檢測(cè)技術(shù)服務(wù)有限公司環(huán)境試驗(yàn)與可靠性試驗(yàn)雖然關(guān)系緊密,但它們?cè)谠囼?yàn)?zāi)康?,所用環(huán)境應(yīng)力數(shù)量,環(huán)境力量值選用準(zhǔn)則,試驗(yàn)類型,試驗(yàn)時(shí)間,試驗(yàn)終止判據(jù)方面存在截然的不同之處。試驗(yàn)?zāi)康模涵h(huán)境試驗(yàn)考察
2022-01-13 14:03:37
電子產(chǎn)品可靠性試驗(yàn)的目的和方法
進(jìn)行評(píng)價(jià),并通過質(zhì)量反饋來提高新產(chǎn)品可靠性水平。3. 篩選試驗(yàn)是一種對(duì)產(chǎn)品進(jìn)行全數(shù)檢驗(yàn)的非破壞性試驗(yàn)。其目的是為選擇具有一定特性的產(chǎn)品或剔早期失效的產(chǎn)品,以提高產(chǎn)品的使用可靠性。產(chǎn)品在制造過程中,由于
2015-08-04 17:34:26
電源可靠性的設(shè)計(jì)經(jīng)驗(yàn)分享
影響電源可靠性的因素。1、電壓應(yīng)力電源電壓應(yīng)力是保證電源可靠性的一個(gè)重要指標(biāo)。在電源中有許多器件都有規(guī)定最大耐壓值,比如:場(chǎng)效應(yīng)管的Vds和Vgs、二極管的反向耐壓、IC的最大VCC電壓以及輸入輸出電容
2016-06-08 15:51:22
電源可靠性設(shè)計(jì)影響因素
影響電源可靠性的因素?! ?、電壓應(yīng)力 電源電壓應(yīng)力是保證電源可靠性的一個(gè)重要指標(biāo)。在電源中有許多器件都有規(guī)定最大耐壓值,比如:場(chǎng)效應(yīng)管的Vds和Vgs、二極管的反向耐壓、IC的最大VCC電壓以及
2018-10-09 10:49:22
電源可靠性設(shè)計(jì)的幾個(gè)建議
,脈沖波形呈矩形,其上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復(fù)也會(huì)產(chǎn)生電磁干擾(EMI),這是影響可靠性的不利因素,這使得系統(tǒng)具有電磁兼容性成為重要問題。 產(chǎn)生電磁干擾有三個(gè)必要條件:干擾
2019-02-21 07:14:11
電路可靠性設(shè)計(jì)與元器件選型
足夠強(qiáng)勢(shì),不必再由我添加壓垮駱駝的那最后一根稻草;②產(chǎn)品的可靠性水平和研發(fā)的強(qiáng)勢(shì)程度成反比;③電路設(shè)計(jì)錯(cuò)誤和器件應(yīng)用不當(dāng)占了故障的八成因素。舉幾個(gè)簡(jiǎn)單例子:一個(gè)電解電容緊挨著散熱片焊接的,與電解電容
2009-12-04 14:32:45
電路可靠性設(shè)計(jì)與元器件選型
送給了研發(fā)弟兄們幾個(gè)總結(jié)性觀點(diǎn):①在公司里,研發(fā)隊(duì)伍已經(jīng)足夠強(qiáng)勢(shì),不必再由我添加壓垮駱駝的那最后一根稻草;②產(chǎn)品的可靠性水平和研發(fā)的強(qiáng)勢(shì)程度成反比;③電路設(shè)計(jì)錯(cuò)誤和器件應(yīng)用不當(dāng)占了故障的八成因素。舉
2009-12-18 16:29:17
電路可靠性設(shè)計(jì)與元器件選型
隊(duì)伍已經(jīng)足夠強(qiáng)勢(shì),不必再由我添加壓垮駱駝的那最后一根稻草;②產(chǎn)品的可靠性水平和研發(fā)的強(qiáng)勢(shì)程度成反比;③電路設(shè)計(jì)錯(cuò)誤和器件應(yīng)用不當(dāng)占了故障的八成因素。舉幾個(gè)簡(jiǎn)單例子:一個(gè)電解電容緊挨著散熱片焊接
2010-04-26 22:05:30
電路可靠性設(shè)計(jì)與元器件選型
隊(duì)伍已經(jīng)足夠強(qiáng)勢(shì),不必再由我添加壓垮駱駝的那最后一根稻草;②產(chǎn)品的可靠性水平和研發(fā)的強(qiáng)勢(shì)程度成反比;③電路設(shè)計(jì)錯(cuò)誤和器件應(yīng)用不當(dāng)占了故障的八成因素。舉幾個(gè)簡(jiǎn)單例子:一個(gè)電解電容緊挨著散熱片焊接
2010-04-26 22:20:16
硬件電路的可靠性
我想問一下高速電路設(shè)計(jì),是不是只要做好電源完整性分析和信號(hào)完整性分析,就可以保證系統(tǒng)的穩(wěn)定了。要想達(dá)到高的可靠性,要做好哪些工作???在網(wǎng)上找了好久,也沒有找到關(guān)于硬件可靠性的書籍。有經(jīng)驗(yàn)的望給點(diǎn)提示。
2015-10-23 14:47:17
硬件設(shè)計(jì)說明中的可靠性設(shè)計(jì)包含哪些?
急求前輩指點(diǎn)!硬件設(shè)計(jì)說明中的可靠性設(shè)計(jì)一般包含哪些?現(xiàn)在需要整理項(xiàng)目的一些文檔,關(guān)于可靠性設(shè)計(jì)要提供哪些文檔一頭霧水,求前輩指點(diǎn)一下!不勝感激!
2016-04-22 11:11:09
缺陷成團(tuán)對(duì)FPGA片內(nèi)冗余容錯(cuò)電路可靠性的影響是什么?
缺陷成團(tuán)對(duì)FPGA片內(nèi)冗余容錯(cuò)電路可靠性的影響是什么?缺陷成團(tuán)對(duì)冗余容錯(cuò)電路可靠性的影響是什么?
2021-04-08 06:50:18
能提供AEC-Q100可靠性報(bào)告嗎?
你好,CY7C1021CV33-10ZSXA AEC-Q100認(rèn)證嗎?如果是的話,你能提供我們的AEC-Q100可靠性報(bào)告嗎?CY7C1021CV33-10ZSXA(AEC-Q100)。γ射線
2018-10-26 15:57:09
請(qǐng)問PCBA可靠性測(cè)試有什么標(biāo)準(zhǔn)可循嗎?
剛剛接觸PCBA可靠性,感覺和IC可靠性差異蠻大,也沒有找到相應(yīng)的測(cè)試標(biāo)準(zhǔn)。請(qǐng)問大佬們?cè)谧鯬CBA可靠性時(shí)是怎么做的,測(cè)試條件是根據(jù)什么設(shè)定?
2023-02-15 10:21:14
請(qǐng)問一下嵌入式無線系統(tǒng)應(yīng)用中可靠性和功耗的優(yōu)化方法是什么?
請(qǐng)問一下嵌入式無線系統(tǒng)應(yīng)用中可靠性和功耗的優(yōu)化方法是什么?
2021-06-03 06:11:48
請(qǐng)問機(jī)械溫控開關(guān)的可靠性有多少?
機(jī)械溫控開關(guān)的可靠性有多少?我看溫控開關(guān)的體積很小,價(jià)格便宜,可以用于一些溫度控制方面,不過可靠性有多少呢?
2023-10-31 06:37:26
請(qǐng)問硬件設(shè)計(jì)說明中的可靠性設(shè)計(jì)包含什么?
急求幫助 硬件設(shè)計(jì)說明中的可靠性設(shè)計(jì)包含哪些?現(xiàn)在需要整理項(xiàng)目的一些文檔,關(guān)于可靠性設(shè)計(jì)要提供哪些文檔一頭霧水,求前輩指點(diǎn)一下!不勝感激!
2020-04-08 03:04:58
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-1
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:09:31
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-2
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:10:05
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-3
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:10:30
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-4
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:10:55
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-5
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:11:21
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-6
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:11:46
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-7
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:12:14
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-8
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:12:40
#硬聲創(chuàng)作季 #可靠性 電子封裝可靠性評(píng)價(jià)中的實(shí)驗(yàn)力學(xué)方法-9
可靠性設(shè)計(jì)可靠性元器件可靠性
水管工發(fā)布于 2022-09-29 22:13:05
#硬聲創(chuàng)作季 #PLC PLC控制系統(tǒng)編程與實(shí)現(xiàn)-5.2.2 PLC控制系統(tǒng)可靠性設(shè)計(jì)
plc可靠性
水管工發(fā)布于 2022-10-20 16:58:33
評(píng)論
查看更多