電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>反向傳播 - 了解神經(jīng)網(wǎng)絡(luò),你需要知道的名詞都在這里

反向傳播 - 了解神經(jīng)網(wǎng)絡(luò),你需要知道的名詞都在這里

上一頁12全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

關(guān)于ZigBee協(xié)議的一切都在這篇文章里

關(guān)于ZigBee,下文采用問答形式向你詳細(xì)地介紹了方方面面,不夸口的說,你所需要知道的關(guān)于ZigBee的一切,全在這里了!
2016-06-13 01:07:001310

要的自供電都在這里

`要的自供電技術(shù)都在這里掃一掃吧[qq]1098104553[/qq]`
2016-12-08 17:52:15

神經(jīng)網(wǎng)絡(luò)50例

神經(jīng)網(wǎng)絡(luò)50例
2012-11-28 16:49:56

神經(jīng)網(wǎng)絡(luò)Matlab程序

神經(jīng)網(wǎng)絡(luò)Matlab程序
2009-09-15 12:52:24

神經(jīng)網(wǎng)絡(luò)與SVM的模塊

大家有知道labview中神經(jīng)網(wǎng)絡(luò)和SVM的工具包是哪個(gè)嗎?求分享一下,有做這方面的朋友也可以交流一下,大家共同進(jìn)步
2017-10-13 11:41:43

神經(jīng)網(wǎng)絡(luò)基本介紹

神經(jīng)網(wǎng)絡(luò)基本介紹
2018-01-04 13:41:23

神經(jīng)網(wǎng)絡(luò)教程(李亞非)

  第1章 概述  1.1 人工神經(jīng)網(wǎng)絡(luò)研究與發(fā)展  1.2 生物神經(jīng)元  1.3 人工神經(jīng)網(wǎng)絡(luò)的構(gòu)成  第2章人工神經(jīng)網(wǎng)絡(luò)基本模型  2.1 MP模型  2.2 感知器模型  2.3 自適應(yīng)線性
2012-03-20 11:32:43

神經(jīng)網(wǎng)絡(luò)移植到STM32的方法

神經(jīng)網(wǎng)絡(luò)移植到STM32最近在做的一個(gè)項(xiàng)目需要用到網(wǎng)絡(luò)進(jìn)行擬合,并且將擬合得到的結(jié)果用作控制,就在想能不能直接在單片機(jī)上做神經(jīng)網(wǎng)絡(luò)計(jì)算,這樣就可以實(shí)時(shí)計(jì)算,不依賴于上位機(jī)。所以要解決的主要是兩個(gè)
2022-01-11 06:20:53

神經(jīng)網(wǎng)絡(luò)簡介

神經(jīng)網(wǎng)絡(luò)簡介
2012-08-05 21:01:08

神經(jīng)網(wǎng)絡(luò)資料

基于深度學(xué)習(xí)的神經(jīng)網(wǎng)絡(luò)算法
2019-05-16 17:25:05

ADI的最新干貨都在這里了,請自取所需

爆款資料推薦ADI《模擬對(duì)話》技術(shù)期刊,第1期至第50期都在這里了https://ezchina.analog.com/thread/14417ADI系統(tǒng)方案精選合集(2016)https
2016-10-28 18:04:57

AI知識(shí)科普 | 從無人相信到萬人追捧的神經(jīng)網(wǎng)絡(luò)

,比如貓頭、貓身、貓尾巴等從而鑒別出一只貓。而卷積神經(jīng)網(wǎng)絡(luò)完全不知道什么是貓頭、貓身、貓尾巴,卷積神經(jīng)網(wǎng)絡(luò)通過學(xué)習(xí)物體的抽象特征,這種特征在現(xiàn)實(shí)世界有可能都沒有特定的名詞,但是通過這些自學(xué)的的特征組合
2018-06-05 10:11:50

DAYU200資料需求集中討論貼,有需要什么資料都在這里提~

DAYU200資料需求集中討論貼,有需要什么資料都在這里提~我們會(huì)統(tǒng)計(jì),并整理輸出給到大家~
2022-03-04 12:32:03

ETPU-Z2全可編程神經(jīng)網(wǎng)絡(luò)開發(fā)平臺(tái)

耗費(fèi)大量的人力、時(shí)間和金錢。需要注意的是,在這里,數(shù)據(jù)集所包含的圖片是神經(jīng)網(wǎng)絡(luò)算法的輸入,而數(shù)據(jù)集中的標(biāo)注則是神經(jīng)網(wǎng)絡(luò)算法的輸出?!叭斯?biāo)注”的過程可以看作一種人“教”機(jī)器的過程,也即通過人類的先驗(yàn)知識(shí)
2020-05-18 17:13:24

MATLAB神經(jīng)網(wǎng)絡(luò)

MATLAB神經(jīng)網(wǎng)絡(luò)
2013-07-08 15:17:13

Matlab神經(jīng)網(wǎng)絡(luò)工具箱是什么? 它在同步中的應(yīng)用有哪些?

Matlab神經(jīng)網(wǎng)絡(luò)工具箱是什么?Matlab神經(jīng)網(wǎng)絡(luò)工具箱在同步中的應(yīng)用有哪些?
2021-04-26 06:42:29

labview BP神經(jīng)網(wǎng)絡(luò)的實(shí)現(xiàn)

是classes(層級(jí)嗎?),希望有前輩能夠詳細(xì)的幫我講解下這個(gè)范例?。?!謝謝?。。。。ū救艘褜?duì)BP神經(jīng)網(wǎng)絡(luò)的理論知識(shí)有了了解
2017-02-22 16:08:08

matlab 中亮劍數(shù)學(xué) 全面掌握控制 神經(jīng)網(wǎng)絡(luò)就在腳下

`如果想學(xué)控制,的數(shù)學(xué)就是造詣,神經(jīng)網(wǎng)絡(luò)的基礎(chǔ)離散數(shù)學(xué),數(shù)學(xué)建模實(shí)際問題分析,如何matlab求解,這里給你解答,這里是數(shù)學(xué)視頻,偏導(dǎo)數(shù)分析,以及對(duì)李雅普諾夫能量方程怎樣得到的,這里給你答案,學(xué)控制,一步一個(gè)腳印`
2013-07-30 11:46:19

matlab實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò) 精選資料分享

習(xí)神經(jīng)神經(jīng)網(wǎng)絡(luò),對(duì)于神經(jīng)網(wǎng)絡(luò)的實(shí)現(xiàn)是如何一直沒有具體實(shí)現(xiàn)一下:現(xiàn)看到一個(gè)簡單的神經(jīng)網(wǎng)絡(luò)模型用于訓(xùn)練的輸入數(shù)據(jù):對(duì)應(yīng)的輸出數(shù)據(jù):我們這里設(shè)置:1:節(jié)點(diǎn)個(gè)數(shù)設(shè)置:輸入層、隱層、輸出層的節(jié)點(diǎn)
2021-08-18 07:25:21

【PYNQ-Z2試用體驗(yàn)】神經(jīng)網(wǎng)絡(luò)基礎(chǔ)知識(shí)

前言前面我們通過notebook,完成了在PYNQ-Z2開發(fā)板上編寫并運(yùn)行python程序。我們的最終目的是基于神經(jīng)網(wǎng)絡(luò),完成手寫的數(shù)字識(shí)別。在這之前,有必要講一下神經(jīng)網(wǎng)絡(luò)的基本概念和工作原理。何為
2019-03-03 22:10:19

【大聯(lián)大世平Intel?神經(jīng)計(jì)算棒NCS2試用體驗(yàn)】使用Intel模型優(yōu)化器(Model Optimizer)的機(jī)器學(xué)習(xí)理解和測評(píng)思路

開發(fā)流程在了解推理機(jī)工作內(nèi)容的基礎(chǔ)上,就需要知道其使用的神經(jīng)網(wǎng)絡(luò)計(jì)算是怎么得到的。在應(yīng)用層次,其實(shí)就是一堆加權(quán)系數(shù)的加減乘除運(yùn)算將輸入數(shù)據(jù)計(jì)算出一個(gè)推論結(jié)果。然而這里存在一個(gè)加權(quán)系數(shù)怎么得到的問題,這就
2020-07-22 22:56:39

【案例分享】ART神經(jīng)網(wǎng)絡(luò)與SOM神經(jīng)網(wǎng)絡(luò)

今天學(xué)習(xí)了兩個(gè)神經(jīng)網(wǎng)絡(luò),分別是自適應(yīng)諧振(ART)神經(jīng)網(wǎng)絡(luò)與自組織映射(SOM)神經(jīng)網(wǎng)絡(luò)。整體感覺不是很難,只不過一些最基礎(chǔ)的概念容易理解不清。首先ART神經(jīng)網(wǎng)絡(luò)是競爭學(xué)習(xí)的一個(gè)代表,競爭型學(xué)習(xí)
2019-07-21 04:30:00

【案例分享】基于BP算法的前饋神經(jīng)網(wǎng)絡(luò)

`BP神經(jīng)網(wǎng)絡(luò)首先給出只包含一個(gè)隱層的BP神經(jīng)網(wǎng)絡(luò)模型(兩層神經(jīng)網(wǎng)絡(luò)): BP神經(jīng)網(wǎng)絡(luò)其實(shí)由兩部分組成:前饋神經(jīng)網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)是前饋的,其權(quán)重都不回送到輸入單元,或前一層輸出單元(數(shù)據(jù)信息是單向
2019-07-21 04:00:00

人工神經(jīng)網(wǎng)絡(luò)原理及下載

人工神經(jīng)網(wǎng)絡(luò)是根據(jù)人的認(rèn)識(shí)過程而開發(fā)出的一種算法。假如我們現(xiàn)在只有一些輸入和相應(yīng)的輸出,而對(duì)如何由輸入得到輸出的機(jī)理并不清楚,那么我們可以把輸入與輸出之間的未知過程看成是一個(gè)“網(wǎng)絡(luò)”,通過不斷地給
2008-06-19 14:40:42

人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法有哪些?

人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network,ANN)是一種類似生物神經(jīng)網(wǎng)絡(luò)的信息處理結(jié)構(gòu),它的提出是為了解決一些非線性,非平穩(wěn),復(fù)雜的實(shí)際問題。那有哪些辦法能實(shí)現(xiàn)人工神經(jīng)網(wǎng)絡(luò)呢?
2019-08-01 08:06:21

人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版)

的基本處理單元,它是神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)基礎(chǔ)。神經(jīng)元是以生物的神經(jīng)系統(tǒng)的神經(jīng)細(xì)胞為基礎(chǔ)的生物模型。在人們對(duì)生物神經(jīng)系統(tǒng)進(jìn)行研究,以探討人工智能的機(jī)制時(shí),把神經(jīng)元數(shù)學(xué)化,從而產(chǎn)生了神經(jīng)元數(shù)學(xué)模型。因此,要了解人工神經(jīng)模型就必須先了解生物神經(jīng)元模型。`
2018-10-23 16:16:02

人工神經(jīng)網(wǎng)絡(luò)課件

人工神經(jīng)網(wǎng)絡(luò)課件
2016-06-19 10:15:48

什么是LSTM神經(jīng)網(wǎng)絡(luò)

簡單理解LSTM神經(jīng)網(wǎng)絡(luò)
2021-01-28 07:16:57

什么是圖卷積神經(jīng)網(wǎng)絡(luò)?

圖卷積神經(jīng)網(wǎng)絡(luò)
2019-08-20 12:05:29

優(yōu)化神經(jīng)網(wǎng)絡(luò)訓(xùn)練方法有哪些?

優(yōu)化神經(jīng)網(wǎng)絡(luò)訓(xùn)練方法有哪些?
2022-09-06 09:52:36

你想要的全景影像系統(tǒng)開發(fā)資料,都在這里啦!

你們想要的全景影像系統(tǒng)開發(fā)資料,都在這里啦![hide][/hide]
2017-03-17 14:08:17

全連接神經(jīng)網(wǎng)絡(luò)和卷積神經(jīng)網(wǎng)絡(luò)有什么區(qū)別

全連接神經(jīng)網(wǎng)絡(luò)和卷積神經(jīng)網(wǎng)絡(luò)的區(qū)別
2019-06-06 14:21:42

關(guān)于 EMC 損失需要知道

轉(zhuǎn)換成熱量,從而消除它們。不同頻率的最佳材料不同的材料有不同的頻率響應(yīng)。在這里,我們?yōu)?b class="flag-6" style="color: red">你提供了指導(dǎo)方針,什么材料最適合什么頻率。請記住,這只是一個(gè)基于典型情況的指南; 與其他任何東西一樣,總有例外。圖
2022-06-18 14:42:25

關(guān)于卷積神經(jīng)網(wǎng)絡(luò)探秘的簡單了解

卷積神經(jīng)網(wǎng)絡(luò)探秘
2019-06-04 11:59:35

關(guān)于電容的一些基礎(chǔ)知識(shí)都在這里

關(guān)于電容的一些基礎(chǔ)知識(shí)都在這里
2021-04-23 07:12:10

關(guān)于高速ADC的選擇與應(yīng)用 你想要的都在這里

關(guān)于高速ADC的選擇與應(yīng)用你想要的都在這里
2021-05-25 06:57:38

卷積神經(jīng)網(wǎng)絡(luò)一維卷積的處理過程

inference在設(shè)備端上做。嵌入式設(shè)備的特點(diǎn)是算力不強(qiáng)、memory小??梢酝ㄟ^對(duì)神經(jīng)網(wǎng)絡(luò)做量化來降load和省memory,但有時(shí)可能memory還吃緊,就需要對(duì)神經(jīng)網(wǎng)絡(luò)在memory使用上做進(jìn)一步優(yōu)化
2021-12-23 06:16:40

卷積神經(jīng)網(wǎng)絡(luò)為什么適合圖像處理?

卷積神經(jīng)網(wǎng)絡(luò)為什么適合圖像處理?
2022-09-08 10:23:10

卷積神經(jīng)網(wǎng)絡(luò)如何使用

卷積神經(jīng)網(wǎng)絡(luò)(CNN)究竟是什么,鑒于神經(jīng)網(wǎng)絡(luò)在工程上經(jīng)歷了曲折的歷史,您為什么還會(huì)在意它呢? 對(duì)于這些非常中肯的問題,我們似乎可以給出相對(duì)簡明的答案。
2019-07-17 07:21:50

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用轉(zhuǎn)載****地址:http://fcst.ceaj.org/CN/abstract/abstract2521.shtml深度學(xué)習(xí)是機(jī)器學(xué)習(xí)和人工智能研究的最新趨勢,作為一個(gè)
2022-08-02 10:39:39

卷積神經(jīng)網(wǎng)絡(luò)的優(yōu)點(diǎn)是什么

卷積神經(jīng)網(wǎng)絡(luò)的優(yōu)點(diǎn)
2020-05-05 18:12:50

卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)和常用框架

  卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)  卷積神經(jīng)網(wǎng)絡(luò)的常用框架
2020-12-29 06:16:44

卷積神經(jīng)網(wǎng)絡(luò)簡介:什么是機(jī)器學(xué)習(xí)?

決定。為此使用決策閾值。另一個(gè)區(qū)別是模式識(shí)別機(jī)沒有配備固定的規(guī)則。相反,它是經(jīng)過訓(xùn)練的。在這個(gè)學(xué)習(xí)過程中,神經(jīng)網(wǎng)絡(luò)被顯示大量的貓圖像。最后,該網(wǎng)絡(luò)能夠獨(dú)立識(shí)別圖像中是否有貓。關(guān)鍵的一點(diǎn)是,未來的識(shí)別
2023-02-23 20:11:10

卷積神經(jīng)網(wǎng)絡(luò)(CNN)是如何定義的?

什么是卷積神經(jīng)網(wǎng)絡(luò)?ImageNet-2010網(wǎng)絡(luò)結(jié)構(gòu)是如何構(gòu)成的?有哪些基本參數(shù)?
2021-06-17 11:48:22

反饋神經(jīng)網(wǎng)絡(luò)算法是什么

反饋神經(jīng)網(wǎng)絡(luò)算法
2020-04-28 08:36:58

圖像預(yù)處理和改進(jìn)神經(jīng)網(wǎng)絡(luò)推理的簡要介紹

為提升識(shí)別準(zhǔn)確率,采用改進(jìn)神經(jīng)網(wǎng)絡(luò),通過Mnist數(shù)據(jù)集進(jìn)行訓(xùn)練。整體處理過程分為兩步:圖像預(yù)處理和改進(jìn)神經(jīng)網(wǎng)絡(luò)推理。圖像預(yù)處理主要根據(jù)圖像的特征,將數(shù)據(jù)處理成規(guī)范的格式,而改進(jìn)神經(jīng)網(wǎng)絡(luò)推理主要用于輸出結(jié)果。 整個(gè)過程分為兩個(gè)步驟:圖像預(yù)處理和神經(jīng)網(wǎng)絡(luò)推理。需要提前安裝Tengine框架,
2021-12-23 08:07:33

基于BP神經(jīng)網(wǎng)絡(luò)的PID控制

最近在學(xué)習(xí)電機(jī)的智能控制,上周學(xué)習(xí)了基于單神經(jīng)元的PID控制,這周研究基于BP神經(jīng)網(wǎng)絡(luò)的PID控制。神經(jīng)網(wǎng)絡(luò)具有任意非線性表達(dá)能力,可以通過對(duì)系統(tǒng)性能的學(xué)習(xí)來實(shí)現(xiàn)具有最佳組合的PID控制。利用BP
2021-09-07 07:43:47

基于BP神經(jīng)網(wǎng)絡(luò)的辨識(shí)

基于BP神經(jīng)網(wǎng)絡(luò)的辨識(shí)
2018-01-04 13:37:27

基于FPGA的神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性

FPGA實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)關(guān)鍵問題分析基于FPGA的ANN實(shí)現(xiàn)方法基于FPGA的神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性
2021-04-30 06:58:13

基于RBF神經(jīng)網(wǎng)絡(luò)的辨識(shí)

基于RBF神經(jīng)網(wǎng)絡(luò)的辨識(shí)
2018-01-04 13:38:52

基于光學(xué)芯片的神經(jīng)網(wǎng)絡(luò)訓(xùn)練解析,不看肯定后悔

基于光學(xué)芯片的神經(jīng)網(wǎng)絡(luò)訓(xùn)練解析,不看肯定后悔
2021-06-21 06:33:55

基于賽靈思FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

作者:Nagesh Gupta 創(chuàng)始人兼 CEOAuviz Systems Nagesh@auvizsystems.com憑借出色的性能和功耗指標(biāo),賽靈思 FPGA 成為設(shè)計(jì)人員構(gòu)建卷積神經(jīng)網(wǎng)絡(luò)
2019-06-19 07:24:41

如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)?

如何用stm32cube.ai簡化人工神經(jīng)網(wǎng)絡(luò)映射?如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)?
2021-10-11 08:05:42

如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?

原文鏈接:http://tecdat.cn/?p=5725 神經(jīng)網(wǎng)絡(luò)是一種基于現(xiàn)有數(shù)據(jù)創(chuàng)建預(yù)測的計(jì)算系統(tǒng)。如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?神經(jīng)網(wǎng)絡(luò)包括:輸入層:根據(jù)現(xiàn)有數(shù)據(jù)獲取輸入的層隱藏層:使用反向傳播優(yōu)化輸入變量權(quán)重的層,以提高模型的預(yù)測能力輸出層:基于輸入和隱藏層的數(shù)據(jù)輸出預(yù)測
2021-07-12 08:02:11

如何移植一個(gè)CNN神經(jīng)網(wǎng)絡(luò)到FPGA中?

訓(xùn)練一個(gè)神經(jīng)網(wǎng)絡(luò)并移植到Lattice FPGA上,通常需要開發(fā)人員既要懂軟件又要懂?dāng)?shù)字電路設(shè)計(jì),是個(gè)不容易的事。好在FPGA廠商為我們提供了許多工具和IP,我們可以在這些工具和IP的基礎(chǔ)上做
2020-11-26 07:46:03

如何設(shè)計(jì)BP神經(jīng)網(wǎng)絡(luò)圖像壓縮算法?

神經(jīng)網(wǎng)絡(luò)的并行特點(diǎn),而且它還可以根據(jù)設(shè)計(jì)要求配置硬件結(jié)構(gòu),例如根據(jù)實(shí)際需要,可靈活設(shè)計(jì)數(shù)據(jù)的位寬等。隨著數(shù)字集成電路技術(shù)的飛速發(fā)展,F(xiàn)PGA芯片的處理能力得到了極大的提升,已經(jīng)完全可以承擔(dān)神經(jīng)網(wǎng)絡(luò)數(shù)據(jù)壓縮
2019-08-08 06:11:30

嵌入式中的人工神經(jīng)網(wǎng)絡(luò)的相關(guān)資料分享

設(shè)備沒有連接的時(shí)候。 在這種情況下,需要一個(gè)能夠?qū)崟r(shí)進(jìn)行信號(hào)預(yù)處理和執(zhí)行神經(jīng)網(wǎng)絡(luò)的平臺(tái),需要最低功耗,尤其是在一個(gè)電池設(shè)備上運(yùn)行的時(shí)候。通過使用不同的工具(如 python 腳本) ,可以訓(xùn)練一個(gè)數(shù)...
2021-11-09 08:06:27

怎么設(shè)計(jì)ARM與神經(jīng)網(wǎng)絡(luò)處理器的通信方案?

FPGA的嵌入式應(yīng)用。某人工神經(jīng)網(wǎng)絡(luò)的FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2019-09-20 06:15:20

有關(guān)脈沖神經(jīng)網(wǎng)絡(luò)的基本知識(shí)

譯者|VincentLee來源 |曉飛的算法工程筆記脈沖神經(jīng)網(wǎng)絡(luò)(Spiking neural network, SNN)將脈沖神經(jīng)元作為計(jì)算單...
2021-07-26 06:23:59

有提供編寫神經(jīng)網(wǎng)絡(luò)預(yù)測程序服務(wù)的嗎?

有提供編寫神經(jīng)網(wǎng)絡(luò)預(yù)測程序服務(wù)的嗎?
2011-12-10 13:50:46

求助地震波神經(jīng)網(wǎng)絡(luò)程序

求助地震波神經(jīng)網(wǎng)絡(luò)程序,共同交流!!
2013-05-11 08:14:19

求助基于labview的神經(jīng)網(wǎng)絡(luò)pid控制

小女子做基于labview的蒸發(fā)過程中液位的控制,想使用神經(jīng)網(wǎng)絡(luò)pid控制,請問這個(gè)控制方法可以嗎?有誰會(huì)神經(jīng)網(wǎng)絡(luò)pid控制么。。。叩謝
2016-09-23 13:43:16

求助大神關(guān)于神經(jīng)網(wǎng)絡(luò)的問題

求助大神 小的現(xiàn)在有個(gè)難題: 一組車重實(shí)時(shí)數(shù)據(jù) 對(duì)應(yīng)一個(gè)車重的最終數(shù)值(一個(gè)一維數(shù)組輸入對(duì)應(yīng)輸出一個(gè)數(shù)值) 這其中可能經(jīng)過均值、方差、去掉N個(gè)最大值、、、等等的計(jì)算 我的目的就是弄清楚這個(gè)中間計(jì)算過程 最近實(shí)在想不出什么好辦法就打算試試神經(jīng)網(wǎng)絡(luò) 請教大神用什么神經(jīng)網(wǎng)絡(luò)好求神經(jīng)網(wǎng)絡(luò)程序
2016-07-14 13:35:44

求基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過程

求高手,基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過程,最好有程序哈,謝謝??!
2012-12-10 14:55:50

深度神經(jīng)網(wǎng)絡(luò)是什么

多層感知機(jī) 深度神經(jīng)網(wǎng)絡(luò)in collaboration with Hsu Chung Chuan, Lin Min Htoo, and Quah Jia Yong. 與許忠傳,林敏濤和華佳勇合作
2021-07-12 06:35:22

簡單神經(jīng)網(wǎng)絡(luò)的實(shí)現(xiàn)

最簡單的神經(jīng)網(wǎng)絡(luò)
2019-09-11 11:57:36

脈沖神經(jīng)網(wǎng)絡(luò)的學(xué)習(xí)方式有哪幾種?

脈沖神經(jīng)網(wǎng)絡(luò)的學(xué)習(xí)方式有哪幾種?
2021-10-26 06:58:01

脈沖耦合神經(jīng)網(wǎng)絡(luò)在FPGA上的實(shí)現(xiàn)誰會(huì)?

脈沖耦合神經(jīng)網(wǎng)絡(luò)(PCNN)在FPGA上的實(shí)現(xiàn),實(shí)現(xiàn)數(shù)據(jù)分類功能,有報(bào)酬。QQ470345140.
2013-08-25 09:57:14

請問神經(jīng)網(wǎng)絡(luò)在電機(jī)控制方面的硬件實(shí)現(xiàn)

急急急?。?!本人小白,在電機(jī)控制和神經(jīng)網(wǎng)絡(luò)都是新手,想請教一下大神們,有了解神經(jīng)網(wǎng)絡(luò)在電機(jī)控制方面的應(yīng)用嗎?有個(gè)導(dǎo)師給我分配任務(wù),讓我查一下相關(guān)領(lǐng)域的最新產(chǎn)品和技術(shù),就是基于神經(jīng)網(wǎng)絡(luò)的電機(jī)控制芯片有
2018-08-15 20:35:04

請問Labveiw如何調(diào)用matlab訓(xùn)練好的神經(jīng)網(wǎng)絡(luò)模型呢?

我在matlab中訓(xùn)練好了一個(gè)神經(jīng)網(wǎng)絡(luò)模型,想在labview中調(diào)用,請問應(yīng)該怎么做呢?或者labview有自己的神經(jīng)網(wǎng)絡(luò)工具包嗎?
2018-07-05 17:32:32

請問為什么要用卷積神經(jīng)網(wǎng)絡(luò)

為什么要用卷積神經(jīng)網(wǎng)絡(luò)?
2020-06-13 13:11:39

關(guān)于新能源汽車與自動(dòng)駕駛,你想知道都在這里

關(guān)于新能源汽車與自動(dòng)駕駛,你想知道都在這里。
2017-08-02 09:57:332444

卷積神經(jīng)網(wǎng)絡(luò)CNN圖解

。 于是在這里記錄下所學(xué)到的知識(shí),關(guān)于CNN 卷積神經(jīng)網(wǎng)絡(luò)需要總結(jié)深入的知識(shí)有很多: 人工神經(jīng)網(wǎng)絡(luò) ANN 卷積神經(jīng)網(wǎng)絡(luò) CNN 卷積神經(jīng)網(wǎng)絡(luò) CNN - BP算法 卷積神經(jīng)網(wǎng)絡(luò) CNN - LetNet分析 卷積神經(jīng)網(wǎng)絡(luò) CNN - caffe應(yīng)用 全卷積神經(jīng)網(wǎng) FCN 如果對(duì)于人工神經(jīng)網(wǎng)絡(luò)
2017-11-16 13:18:4056168

為什么使用機(jī)器學(xué)習(xí)和神經(jīng)網(wǎng)絡(luò)以及需要了解的八種神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu)

讀。機(jī)器學(xué)習(xí)和神經(jīng)網(wǎng)絡(luò)如此優(yōu)秀,我們先來探討兩個(gè)問題——為什么需要機(jī)器學(xué)習(xí)?為何要使用神經(jīng)網(wǎng)絡(luò)?之后在來詳細(xì)了解八種不同的網(wǎng)絡(luò)架構(gòu)。
2018-01-10 16:30:0811405

最詳細(xì)的電氣基礎(chǔ)知識(shí)都在這里,你確定要錯(cuò)過嗎?

最詳細(xì)的電氣基礎(chǔ)知識(shí)都在這里
2018-03-28 16:03:2027344

卷積神經(jīng)網(wǎng)絡(luò)CNN架構(gòu)分析 - LeNet

。 于是在這里記錄下所學(xué)到的知識(shí),關(guān)于CNN 卷積神經(jīng)網(wǎng)絡(luò),需要總結(jié)深入的知識(shí)有很多: 人工神經(jīng)網(wǎng)絡(luò) ANN 卷積神經(jīng)網(wǎng)絡(luò) CNN 卷積神經(jīng)網(wǎng)絡(luò) CNN - BP算法 卷積神經(jīng)網(wǎng)絡(luò) CNN - caffe應(yīng)用 卷積神經(jīng)網(wǎng)絡(luò) CNN - LetNet分析 LetNet網(wǎng)絡(luò) 下圖是一個(gè)經(jīng)典的CNN結(jié)構(gòu),稱為
2018-10-02 07:41:01544

神經(jīng)網(wǎng)絡(luò)分類

本視頻主要詳細(xì)介紹了神經(jīng)網(wǎng)絡(luò)分類,分別是BP神經(jīng)網(wǎng)絡(luò)、RBF(徑向基)神經(jīng)網(wǎng)絡(luò)、感知器神經(jīng)網(wǎng)絡(luò)、線性神經(jīng)網(wǎng)絡(luò)、自組織神經(jīng)網(wǎng)絡(luò)、反饋神經(jīng)網(wǎng)絡(luò)。
2019-04-02 15:29:2212598

詳解直線電機(jī),你要知道都在這里資料下載

電子發(fā)燒友網(wǎng)為你提供詳解直線電機(jī),你要知道都在這里資料下載的電子資料下載,更有其他相關(guān)的電路圖、源代碼、課件教程、中文資料、英文資料、參考設(shè)計(jì)、用戶指南、解決方案等資料,希望可以幫助到廣大的電子工程師們。
2021-04-07 08:41:5118

一張思維導(dǎo)圖介紹PCB散熱,你需要了解都在這里資料下載

電子發(fā)燒友網(wǎng)為你提供一張思維導(dǎo)圖介紹PCB散熱,你需要了解都在這里資料下載的電子資料下載,更有其他相關(guān)的電路圖、源代碼、課件教程、中文資料、英文資料、參考設(shè)計(jì)、用戶指南、解決方案等資料,希望可以幫助到廣大的電子工程師們。
2021-04-29 08:41:0433

什么是神經(jīng)網(wǎng)絡(luò)?什么是卷積神經(jīng)網(wǎng)絡(luò)?

在介紹卷積神經(jīng)網(wǎng)絡(luò)之前,我們先回顧一下神經(jīng)網(wǎng)絡(luò)的基本知識(shí)。就目前而言,神經(jīng)網(wǎng)絡(luò)是深度學(xué)習(xí)算法的核心,我們所熟知的很多深度學(xué)習(xí)算法的背后其實(shí)都是神經(jīng)網(wǎng)絡(luò)。
2023-02-23 09:14:442252

三個(gè)最流行神經(jīng)網(wǎng)絡(luò)

在本文中,我們將了解深度神經(jīng)網(wǎng)絡(luò)的基礎(chǔ)知識(shí)和三個(gè)最流行神經(jīng)網(wǎng)絡(luò):多層神經(jīng)網(wǎng)絡(luò)(MLP),卷積神經(jīng)網(wǎng)絡(luò)(CNN)和遞歸神經(jīng)網(wǎng)絡(luò)(RNN)。
2023-05-15 14:19:181096

神經(jīng)網(wǎng)絡(luò)的概念和應(yīng)用

神經(jīng)網(wǎng)絡(luò)的應(yīng)用場景自然非常多樣。筆者在這里選擇一部分應(yīng)用場景為大家做簡要的介紹,更多的還是期待我們共同發(fā)現(xiàn)和探索。
2023-05-16 09:27:15457

儲(chǔ)能連接器生產(chǎn)流程都在這里

您對(duì)于儲(chǔ)能連接器的生產(chǎn)流程了解多少,下面我們一同來認(rèn)識(shí)一下儲(chǔ)能連接器生產(chǎn)流程?!皟?chǔ)能連接器生產(chǎn)流程都在這里了”由仁昊連接器為您整理,采購連接器,上仁昊。
2022-01-06 14:02:421222

[HPM雜談]你想要了解的先楫hpm_sdk開發(fā)都在這里系列 (二)

一、概述在上一篇雜談文章《[HPM雜談]你想要了解的先楫hpm_sdk開發(fā)都在這里系列(一)》,大概分析了先楫通用單片機(jī)開發(fā)與其他國產(chǎn)單片機(jī)的開發(fā)差異,以及開發(fā)優(yōu)劣勢。剛好在這個(gè)月底,先楫官方發(fā)布
2023-10-12 08:18:09826

J-Link 中的JTAG 接口:正確使用需要了解的注意事項(xiàng),在這里!

J-Link 中的JTAG 接口:正確使用需要了解的注意事項(xiàng),在這里!
2023-12-01 16:01:57560

有關(guān)eFuse電子保險(xiǎn)絲,你應(yīng)該了解的技術(shù)干貨,都在這里!

有關(guān)eFuse電子保險(xiǎn)絲,你應(yīng)該了解的技術(shù)干貨,都在這里!
2023-12-04 10:20:13639

已全部加載完成