電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>一個DSP怪物的演化:具有大量DSP的UltraScale+ 和UItraScale全可編程器件

一個DSP怪物的演化:具有大量DSP的UltraScale+ 和UItraScale全可編程器件

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

常見問題解答:Xilinx采用首個ASIC級UltraScale可編程架構(gòu)

Xilinx采用首個ASIC級UltraScale可編程架構(gòu)之首款20nm All Programmable器件開始投片常見問題解答:什么是UltraScale 架構(gòu)?ASIC 級 UltraScale 架構(gòu)能為賽靈思 FPGA、3D IC 和 SoC 帶來哪些優(yōu)勢?
2013-07-09 20:28:522137

利用可編程器件CPLD/FPGA實現(xiàn)VGA圖像控制器的設(shè)計方案

利用可編程器件CPLD/FPGA實現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場中有許多實際應(yīng)用。以硬件描述語言VHDL對可編程器件進行功能模塊設(shè)計、仿真綜合,可實現(xiàn)VGA顯示控制器顯示各種圖形、圖像、文字,并實現(xiàn)了動畫效果。
2020-08-30 12:03:59882

DSP到底是什么鬼?看完你就懂了

, 美國 Intel 公司發(fā)布的商用可編程器件 2920 是 DSP 芯片的主要里程碑,但其依然沒有硬件乘法器;1980年,日本 NEC 公司推出的 MPD7720 是第一個具有硬件乘法器的商用 DSP
2021-06-18 19:13:54

UltraScale DSP48 Slice架構(gòu)的優(yōu)勢是什么?

UltraScale DSP48 Slice架構(gòu)的優(yōu)勢是什么?UltraScale內(nèi)存架構(gòu)的優(yōu)勢是什么?
2021-05-24 06:34:00

可編程模擬器件在可重構(gòu)結(jié)構(gòu)中的應(yīng)用設(shè)計有哪些?

什么是可編程模擬器件?如何去實現(xiàn)相位檢測器?實現(xiàn)可編程ADC有哪些方法?
2021-04-28 06:50:09

可編程模擬的各種架構(gòu)

吸引力。些需求能很好地適合這些器件的優(yōu)點:保持在某個產(chǎn)品領(lǐng)域的設(shè)計前沿,不花費很多的設(shè)計成本,并且不存在會影響任何設(shè)計進入量產(chǎn)的最終用戶需求。這樣的日子可能為時不遠了。不過,如果可編程模擬時代
2019-07-05 07:51:19

可編程邏輯控制器的特點及應(yīng)用

可編程邏輯控制器具有哪些特點?可編程邏輯控制器有哪些應(yīng)用領(lǐng)域?
2021-10-11 07:23:38

可編程器件編程原理是什么?

可編程器件編程原理是什么?指令集對CPU的意義是什么?
2021-11-30 07:39:47

可編程邏輯器件

可編程邏輯器件到底是干什么用的呢,簡單的說,就是通過重新寫程序,重新注入到這個器件中達到實現(xiàn)其它的功能。最常見的當屬電腦了。電腦本身除了加法,減法和簡單的邏輯運算四種。比如要是想實現(xiàn)功能讓電腦
2014-04-15 10:02:54

可編程邏輯器件發(fā)展歷史

)幾個發(fā)展階段,其中 CPLD/FPGA 屬高密度可編程邏輯器件,CPLD 和 FPGA 是 80年代中后期出現(xiàn)的,其特點是具有用戶可編程的特性。 利用 CPLD/FPGA,電子系統(tǒng)設(shè)計工程師可以在實驗室
2019-02-26 10:08:08

可編程邏輯器件是如何發(fā)展的?

可編程邏輯器件是如何發(fā)展的?
2021-04-29 06:23:22

ARM可編程器件的原理是什么?其運行過程是怎樣的

、可編程器件的特點 1、CPU在固定頻率的時鐘控制下節(jié)奏運行。 2、CPU可以通過總線讀取外部存儲設(shè)備中二進制指令集,然后解碼執(zhí)行。 3、這些可以被CPU解碼執(zhí)行的二進制指令集是CPU設(shè)計的時候
2022-05-23 15:37:50

ARM、DSP、FPGA

可編程器件門電路數(shù)有限的缺點。可以毫不夸張的講,F(xiàn)PGA能完成任何數(shù)字器件的功能,上至高性能CPU,下至簡單的74電路,都可以用FPGA來實現(xiàn)。FPGA如同張白紙或是堆積木,工程師可以通過傳統(tǒng)
2021-09-08 17:49:20

ARM、DSP、FPGA的技術(shù)特點和區(qū)別

Programmable Gate Array(現(xiàn)場可編程門陣列)的縮寫,它是在PAL、GAL、PLD等可編程器件的基 礎(chǔ)上進步發(fā)展的產(chǎn)物,是專用集成電路(ASIC)中集成度最高的種。FPGA采用了邏輯單元陣列
2013-03-14 16:12:07

ARM、DSP、FPGA的技術(shù)特點和區(qū)別

用戶的邏輯。它還具有靜態(tài)可重復(fù)編程和動態(tài)在系統(tǒng)重構(gòu)的特性,使得硬件的功能可以像軟件樣通過編程來修改。作為專用集成電路(ASIC)領(lǐng)域中的種半定制電路,F(xiàn)PGA既解決了定制電路的不足,又克服了原有可編程器件
2018-11-19 11:07:49

ARM、DSP、FPGA的詳細比較

ProgrammableGate Array)現(xiàn)場可編程門陣列的縮寫,它是在PAL、GAL、PLD等可編程器件的基礎(chǔ)上進步發(fā)展的產(chǎn)物,是專用集成電路(ASIC)中集成度最高的種。FPGA采用了邏輯單元陣列LCA(Logic
2019-04-01 06:20:23

FPGA可編程器件和CPLD可編程器件有哪些相同點和不同點

CPLD是什么?FPGA包含哪幾類可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點和不同點?
2021-11-10 07:42:51

FPGA與ARM、DSP的區(qū)別

Field Programmable Gate Array(現(xiàn)場可編程門陣列)的縮寫,它是在PAL、GAL、PLD等可編程器件的基礎(chǔ)上進步發(fā)展的產(chǎn)物,是專用集成電路(ASIC)中集成度最高的
2014-06-26 14:23:43

FPGA與ARM、DSP的區(qū)別。。。

克服了原有可編程器件門電路數(shù)有限的缺點??梢院敛豢鋸埖闹v,F(xiàn)PGA能完成任何數(shù)字器件的功能,上至高性能CPU,下至簡單的74電 路,都可以用FPGA來實現(xiàn)。FPGA如同張白紙或是堆積木,工程師可以
2013-05-06 15:56:02

PLD可編程邏輯器件

,足以滿足設(shè)計般的數(shù)字系統(tǒng)的需要。目前常用EEPROM,CPLD,F(xiàn)PGA。 PLA,PAL,GAL是早期的可編程器件,已經(jīng)淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
2021-07-22 09:05:48

PSoC Creator如何簡化可編程器件上的軟硬件協(xié)同設(shè)計?

PSoC Creator簡化可編程器件上的軟硬件協(xié)同設(shè)計
2021-02-23 06:50:24

XC3SD1800A-4CSG484LI現(xiàn)場可編程門陣列

`Spartan-3ADSP現(xiàn)場可編程門陣列系列(FPGA)解決了大多數(shù)高容量的設(shè)計難題,成本敏感的高性能DSP應(yīng)用。這兩人家庭提供的密度從1.8到3.4百萬系統(tǒng)門,如表1所示。Spartan-3A
2021-04-26 15:07:49

ZYNQ Ultrascale+ MPSOC FPGA教程

ZYNQ Ultrascale+ MPSOC FPGA教程
2021-02-02 07:53:25

[討論]可編程濾波的AD7725

  由美國模擬器件公司出品,AD7725是款完整的16位、Σ-Δ模數(shù)轉(zhuǎn)換器(ADC)。其內(nèi)置片內(nèi)用戶可編程信號調(diào)理功能,調(diào)制器輸出由三級聯(lián)的有限脈沖響應(yīng)(FIR)濾波器及后置
2010-03-16 16:26:43

什么是可編程邏輯

- 固定邏輯器件可編程邏輯器件。如其名,固定邏輯器件中的電路是永久性的,它們完成種或組功能 -- 旦制造完成,就無法改變。另方面,可編程邏輯器件(PLD)是能夠為客戶提供范圍廣泛的多種邏輯容量
2009-05-29 11:36:21

關(guān)于可編程器件你想知道的都在這

本文詳細介紹了可編程器件、可重編程器件和可重配置器件的基本概念,它對正確選擇器件很有必要。
2021-04-29 06:29:09

基于DSP的圖像處理系統(tǒng)的應(yīng)用研究

可編程邏輯器件XC2S300E為核心的圖象處理系統(tǒng)的硬件實現(xiàn)方案以及通過DSP對FPGA芯片的動態(tài)配置來實現(xiàn)軟件控制的設(shè)計思路。   關(guān)鍵詞:可編程邏輯器件;數(shù)宇信號處理器;數(shù)字圖象處理;動態(tài)配置dsp 可編程邏輯器件 數(shù)宇信號處理器 數(shù)字圖象處理 動態(tài)配置
2012-12-19 11:05:08

基于可編程模擬器件的小信號測量系統(tǒng)應(yīng)用

1 引 言   在系統(tǒng)可編程模擬電路(In System ProgrammabilityProgrammable Analog Circuits,ispPAC)是可編程模擬器件種,其內(nèi)部有可編程
2019-07-02 07:06:27

如何利用Lattice公司的可編程器件設(shè)計車用顯示系統(tǒng)?

本文將主要介紹如何利用Lattice公司的可編程器件設(shè)計車用顯示系統(tǒng)。
2021-05-17 06:09:40

如何更有效地使用可編程時鐘器件

如何更有效地使用可編程時鐘器件?設(shè)計SERDES參考時鐘源面臨的挑戰(zhàn)有哪些?如何去實現(xiàn)XAUI參考時鐘源?
2021-04-20 06:03:53

如何設(shè)計基于FPGA的可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過計算機軟件編程進行控制的電源。可編程電源的實現(xiàn)方法有很多種。其中,現(xiàn)場可編程門陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有性能好,規(guī)模大,可重復(fù)編程,開發(fā)投資小等優(yōu)點。
2019-11-04 06:26:07

如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

的普通問題,還是出現(xiàn)在正在使用 Zynq UltraScale+ MPSoC VCU DDR 控制器的地方的特殊問題?! DR 性能:  對于性能問題,可將板上的性能與 ZCU106 進行比較,也可以參考 (PG252) H.264/H.265 視頻編解碼器 (VCU) 產(chǎn)品指南。
2021-01-07 16:02:09

如何通過ARM對可編程器件進行配置?

通過ARM對可編程器件進行配置的的設(shè)計和實現(xiàn)
2021-04-13 06:20:21

怎么采用可編程邏輯器件設(shè)計數(shù)字系統(tǒng)?

本文以乘法器的設(shè)計為例,來說明采用可編程邏輯器件設(shè)計數(shù)字系統(tǒng)的方法。
2021-04-29 06:22:10

請教器件可編程的基本概念

RAM就可以改變可編程器件的邏輯。可編程器件的LE中,其輸入陣列中是不是列和行都是實際導(dǎo)線,根據(jù)具體的需要把需要的節(jié)點‘焊接'在起。忘指點。謝謝。
2013-07-13 17:06:40

請問如何去設(shè)計可編程器件輔助軟件?

HAD輔助設(shè)計軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫程序的設(shè)計思路是怎樣的?請問如何去設(shè)計可編程器件輔助軟件?
2021-04-14 06:21:42

請問如何選擇PLC可編程邏輯器件?

如何選擇PLC可編程邏輯器件
2021-04-27 06:39:03

超低功耗可編程系統(tǒng)器件PSD4000系列

的MCU或DSP),可編程地址譯碼器,以及用于芯片選擇、組合邏輯和可配置的引腳分配的小型 CPLD。PSD4000系列器件都有ISP JTAG端口,通過它可以在20秒以內(nèi)對生產(chǎn)線上的每個器件在進行
2019-06-27 07:38:07

可編程器件與MAX+plusII簡介

熟悉常用邏輯器件的分類;了解常用可編程邏輯器件的內(nèi)部結(jié)構(gòu);熟悉可編程邏輯器件的開發(fā)流程;掌握MAX+plusII軟件。重點:基于MAX+plusII軟件進行PLD設(shè)計的流程。難點:PLD的
2008-12-01 17:36:4519

DSP實現(xiàn)CPLD多方案現(xiàn)場可編程配置

結(jié)合繼電保護測試裝置的研制體會,介紹基于DSP 的CPLD 多方案現(xiàn)場可編程配置方法,給出硬件的配置連接、CPLD 配置數(shù)據(jù)的獲取與存儲方法和CPLD 在DSP 控制下的被動串行配置過程。設(shè)
2009-04-15 08:50:5529

DSP實現(xiàn)CPLD多方案現(xiàn)場可編程配置

結(jié)合繼電保護測試裝置的研制體會,介紹基于DSP 的CPLD 多方案現(xiàn)場可編程配置方法,給出硬件的配置連接、CPLD 配置數(shù)據(jù)的獲取與存儲方法和CPLD 在DSP 控制下的被動串行配置過程。設(shè)
2009-05-18 14:33:2416

Max7000系列可編程器件DSP系統(tǒng)設(shè)計中的應(yīng)用

本文介紹了 MAX7000 系列CPLD 器件DSP 接口電路中的應(yīng)用,重點介紹了TMS3202××系列DSP 和存儲器,LCD 接口的方法,并輔以VHDL 源程序的例子。關(guān)鍵詞:DSP;MAX7000;CPLD;可編程
2009-06-29 08:57:0343

可編程邏輯器件及其在DSP系統(tǒng)中的應(yīng)用

介紹了PLD(可編程邏輯器件) 的技術(shù)特點,并針對當前DSP 系統(tǒng)中串并轉(zhuǎn)換器選擇調(diào)試困難的特點,提出利用PLD 開發(fā)DSP 系統(tǒng)中高速串行到高速并行的數(shù)據(jù)轉(zhuǎn)換傳輸技術(shù),以簡化電路及
2009-12-04 11:49:0417

可編程模擬器件原理與開發(fā)

可編程模擬器件原理與開發(fā)作者:趙曙光陳麗萍 殷延瑞 趙明英關(guān)鍵詞:可編程模擬器件,模擬集成電路,ASIC摘要:可編程模擬器件(Programmable Analog Device)是近年來嶄露頭
2010-02-06 17:05:2744

可編程邏輯器件基礎(chǔ)及應(yīng)用實驗指導(dǎo)書

可編程邏輯器件基礎(chǔ)及應(yīng)用實驗指導(dǎo)書 《可編程邏輯器件基礎(chǔ)及應(yīng)用》是一門側(cè)重掌握可編程邏輯器件的基本結(jié)構(gòu)和原理的課程。重點是使學(xué)生掌握基于可編程
2010-03-24 14:22:4629

單片機與可編程器件教程

單片機與可編程器件教程 本教程包括: 一.用AT89C52 和TLC1543實現(xiàn)數(shù)據(jù)采集系統(tǒng) 二.紅外學(xué)習(xí)機的設(shè)計原理及應(yīng)用江蘇張家港沙洲工學(xué)院 趙海蘭摘要 簡單介紹
2010-04-14 14:38:4844

在系統(tǒng)可編程器件在模擬電路中的應(yīng)用

介紹了在系統(tǒng)可編程技術(shù)的特點,以及在系統(tǒng)可編程模擬器件的內(nèi)部結(jié)構(gòu)最后通過一個有源濾波囂的設(shè)計實例介紹了在系統(tǒng)可縞程器件在模擬電路中的應(yīng)用.關(guān)鍵詞模擬電路
2010-04-25 10:08:5714

在系統(tǒng)可編程模擬器件ISPPAC20及其應(yīng)用

摘要:可編程器件已有很久的發(fā)展歷史,除了它體積小、容量大、I/O口豐富、易編程和加密等優(yōu)點外,更突出的特點是其芯片的在系統(tǒng)可編程技術(shù)。也就是說它不但具有可編程和可
2010-07-12 10:04:4732

TMS320 DSP算法標準

TMS320系列DSP是軟件可編程器件,具有通用微處理器所具有的方便靈活的特點。其基本特點有:   哈佛結(jié)構(gòu),流水線操作,專用的硬件乘法器,特殊的DSP指令,
2010-11-25 15:20:3266

基于可編程器件的任意進制計數(shù)器的設(shè)計

采用可編程器件設(shè)計電路,利用MAX+plus II設(shè)計軟件中LPM元件庫所提供的lpm_counter元件,實現(xiàn)任意進制計數(shù)器的設(shè)計。該計數(shù)器電路與結(jié)構(gòu)無關(guān),可編程器件的芯片利用率及效率達到最
2010-12-29 17:47:0755

什么是PLD(可編程邏輯器件)

什么是PLD(可編程邏輯器件) PLD是可編程邏輯器件(Programable Logic Device)的簡稱,F(xiàn)PGA是現(xiàn)場可編程門陣列(Field Programable Gate Array)
2009-06-20 10:32:3214283

DSP器件的現(xiàn)場可編程技術(shù)

DSP器件的現(xiàn)場可編程技術(shù) DSP問世以來,以其強大的功能、合理的價格已經(jīng)被設(shè)計者廣泛應(yīng)用。但不同于FPGA器件的是,DSP并不是為現(xiàn)場可編程而開發(fā)的,因此,在嵌入了DSP
2010-01-07 10:11:52807

可編程SoC(SoPC),什么是可編程SoC(SoPC)

可編程SoC(SoPC),什么是可編程SoC(SoPC) SOPC ( System on a Programmable Chip,片上可編程系統(tǒng))是以PLD(可編程邏輯器件)取代ASIC(專用集成電路),更
2010-03-26 17:01:352336

飛思卡爾推出最高吞吐量可編程基帶DSP MSC8157和MS

  繼兩年前推出業(yè)內(nèi)性能最高的可編程基帶DSP 并完成多個部署,飛思卡爾半導(dǎo)體宣布推出兩款新產(chǎn)品,其
2010-11-19 17:55:051611

可編程DSP芯片的基本結(jié)構(gòu)和特征

可編程DSP芯片是一種具有特殊結(jié)構(gòu)的微處理器,為了達到快速進行數(shù)字信號處理的目的,DSP芯片一般都具有程序和數(shù)據(jù)分開的總線結(jié)構(gòu)、流水線操作功能、單周期完成乘法的硬件乘法器以及一套適合數(shù)字信號處理的指令集。本章將首先介紹DSP芯片的基本結(jié)構(gòu),然后介紹
2011-03-01 16:30:55685

Altera發(fā)布光纖互連可編程器件

Altera公司日前發(fā)布其光纖互連可編程器件規(guī)劃。收發(fā)器是業(yè)界發(fā)展的關(guān)鍵,因此,Altera發(fā)揮在這一領(lǐng)域的技術(shù)領(lǐng)先優(yōu)勢,將這一遠景展望變?yōu)楝F(xiàn)實
2011-03-31 09:28:38746

EDA技術(shù)與應(yīng)用(可編程邏輯器件)

7.1 可編程邏輯器件的基本原理 7.2 可編程邏輯器件的設(shè)計技術(shù) 7.3 可編程邏輯器件編程與配置
2012-05-23 10:46:19142

演講稿:湯立人先生詳解Xilinx首個ASIC級可編程架構(gòu)UltraScale

演講稿:湯立人先生詳解Xilinx首個ASIC級可編程架構(gòu)UltraScale 行業(yè)首款20nm All Programmable器件; 行業(yè)首個ASIC級可編程架構(gòu) ;比同類競爭產(chǎn)品提前一年實現(xiàn)1.5至2倍的性能和集成度
2013-07-09 22:35:1088

Xilinx全可編程器件助力中興通訊Pre5G 3D/大規(guī)模MIMO基站

2015年3月3日,中國北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其全可編程器件將支持中興通訊的pre5G 3D/大規(guī)模MIMO基站。
2015-03-05 16:50:571053

復(fù)雜可編程邏輯器件_CPLD_在DSP交流電機控制系統(tǒng)中的應(yīng)用

復(fù)雜可編程邏輯器件_CPLD_在DSP交流電機控制系統(tǒng)中的應(yīng)用
2016-04-15 18:06:159

可編程器件實現(xiàn)LED顯示屏的硬件掃描控制

可編程器件實現(xiàn)LED顯示屏的硬件掃描控制
2017-01-18 20:40:3321

Xilinx全可編程方案驅(qū)動未來行業(yè)大趨勢

UltraScale+ MPSoC的SDSoC開發(fā)環(huán)境。該開發(fā)環(huán)境可以讓軟件及系統(tǒng)工程師可以用他們熟悉的方式來設(shè)計和開發(fā)Xilinx全可編程器件。Xilinx強大的產(chǎn)品如
2017-02-08 19:18:11238

Micrium RTOS內(nèi)核支持Xilinx的可編程器件 Zynq UltraScale+ MPSoc

系統(tǒng) Micrium發(fā)布了支持針對Xilinx的所有新的可編程Zynq UltraScale + MPSoc商用實時多任務(wù)操作系統(tǒng)。Micrium 的μC/OS-II and μC/OS-III 內(nèi)核
2017-02-09 02:31:11305

Xilinx 宣布Vivado設(shè)計套件開始支持16nm UltraScale+產(chǎn)品早期試用

的16nm UltraScale?+產(chǎn)品組合的早期試用。該Vivado早期試用版工具已與UltraScale+ ASIC級可編程邏輯進行了協(xié)同優(yōu)化,能夠充分發(fā)揮量產(chǎn)級UltraScale+器件的優(yōu)勢,進而
2017-02-09 03:25:37357

16nm UltraScale可編程器件內(nèi)部是什么?

作者:Steve Leibson, 賽靈思戰(zhàn)略營銷與業(yè)務(wù)規(guī)劃總監(jiān) 今天賽靈思推出了三款UltraScale+ 16nm器件族中的24種新器件,并且他們包含了許多新構(gòu)件。 接下來的幾天里Xcell
2017-02-09 09:11:37109

UltraScale架構(gòu)+TSMC’s 16FF=16nm UltraScale+可編程器件( 24種新器件

作者:Steve Leibson, 賽靈思戰(zhàn)略營銷與業(yè)務(wù)規(guī)劃總監(jiān) 今天,賽靈思同時推出了基于TSMC全新16FF+ FinFET工藝技術(shù)的3款16nm UltraScale+可編程器件系列。包含
2017-02-09 09:12:38500

可編程器件發(fā)展看FPGA未來趨勢

可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個階段,即從20世紀70年代初到70年代中為第1段,20世紀70年代中到80年代中為第2階段,20世紀80年代到90年代末為第3階段,20世紀90年代末到目前為第4階段。
2017-02-11 17:04:112026

可編程邏輯器件(書皮)

可編程邏輯器件(書皮)
2022-07-10 14:34:540

可編程器件緒論

可編程器件緒論
2017-09-19 15:40:117

利用FPGA技術(shù)實現(xiàn)DSP和LCD之間雙向快速通道的設(shè)計

在高速的數(shù)字信號處理系統(tǒng)中,要涉及到大量的計算,為了提高運算速度,正大量使用DSP器件。目前的可編程器件,其時鐘頻率可以很高,在高速數(shù)字信號處理系統(tǒng)中將發(fā)揮越來越大的作用。因此,DSP+FPGA的方案正越來越多地被電子工程師們采用。
2019-07-02 08:10:001219

Zynq UltraScale+ MPSoC存儲器接口系統(tǒng)的介紹

該視頻重點介紹了UltraScale +產(chǎn)品系列的第一個成員Zynq?UltraScale+?MPSoC,并展示了使用可編程邏輯中的DDR4 SDRAM IP的存儲器接口系統(tǒng)的穩(wěn)健性。
2018-11-29 06:36:003044

Xilinx7系列器件利用可編程FPGA和SOC加速DSP設(shè)計生產(chǎn)力

負責在當今復(fù)雜的DSP應(yīng)用中提供更多功能和性能的設(shè)計人員越來越多地轉(zhuǎn)向其硬件解決方案的可編程邏輯。 Xilinx?7系列FPGA通過一系列器件滿足這一需求。
2018-11-28 06:26:002226

可編程器件上運行的56G PAM4收發(fā)器技術(shù)演示

在這段視頻中,我們將向您展示業(yè)界首款可編程器件上運行的56G收發(fā)器。
2018-11-27 06:34:003517

利用UltraScale+ FPGA加速DSP的設(shè)計生產(chǎn)力

負責在當今復(fù)雜的DSP應(yīng)用中提供更多功能和性能的設(shè)計人員越來越多地轉(zhuǎn)向其硬件解決方案的可編程邏輯。
2018-11-22 06:34:002893

電子技術(shù)基礎(chǔ)知識存儲器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列的介紹

本文檔的詳細介紹的是電子技術(shù)基礎(chǔ)知識存儲器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列的介紹主要內(nèi)容包括了: 1 只讀存儲器,2 隨機存取存儲器,3 復(fù)雜可編程邏輯器件,4 現(xiàn)場可編程門陣列,5 用EDA技術(shù)和可編程器件的設(shè)計例題
2019-02-22 08:00:0028

賽靈思擴展SmartConnect技術(shù)為16nm UltraScale+器件實現(xiàn)性能突破

賽靈思 UltraScale+ 產(chǎn)品組合是業(yè)界唯一的一款基于 FinFET 的可編程技術(shù)。其包括 Zynq、Kintex 和 Virtex UltraScale+ 器件,相對于 28nm 產(chǎn)品而言,性能功耗比提升 2-5 倍,能支持 5G 無線、軟件定義網(wǎng)絡(luò)和下一代高級駕駛員輔助系統(tǒng)等市場領(lǐng)先應(yīng)用。
2019-07-30 16:08:262867

基于可編程邏輯器件CPLD實現(xiàn)中壓變頻系統(tǒng)的設(shè)計

滿足要求,而片外專用處理器引腳I/O口有限,如果用多片DSP協(xié)同工作,都需要與DSP交換數(shù)據(jù),這必然會大量消耗DSP時間資源,受高精度與實時性要求限制,很難滿足要求。相反用CPLD(復(fù)雜可編程邏輯器件)進行I/O擴展,其設(shè)計乃至仿真調(diào)試都非常方便。
2020-08-05 17:23:14680

Zynq UltraScale+ 器件與PL DNA不同的值

Xilinx 用兩個 96 位獨特器件標識符(稱為器件 DNA)為每個 Zynq UltraScale+ 器件編程。一個 DNA 值位于可編程邏輯 (PL) 中,另一個 DNA 值位于處理系統(tǒng) (PS) 中。這兩個 DNA 值是不同的,但每個 DNA 都有以下屬性及讀取訪問方法。
2022-02-08 14:19:491149

Zynq UltraScale+ 器件 — PS DNA 沒有寫保護,是一個與 PL DNA 不同的值

Xilinx 用兩個 96 位獨特器件標識符(稱為器件 DNA)為每個 Zynq UltraScale+ 器件編程。一個 DNA 值位于可編程邏輯 (PL) 中,另一個 DNA 值位于處理系統(tǒng) (PS) 中。這兩個 DNA 值是不同的,但每個 DNA 都有以下屬性及讀取訪問方法。
2021-01-23 06:32:3310

超全使用串口對DSP進行應(yīng)用可編程的方法

本文介紹了一種通過串口對DSP進行應(yīng)用可編程的方法,該方法簡單易操作,文中給出了具體的實現(xiàn)方法。
2021-04-02 16:13:382750

一文詳細了解可編程邏輯器件(PLD)

在過去的十年中,可編程邏輯器件(PLD)市場不斷增長,對PLD的需求不斷增加。具有可編程特性且可編程的芯片稱為PLD。PLD也稱為現(xiàn)場可編程器件(FPD)。FPD用于實現(xiàn)數(shù)字邏輯,用戶可以配置集成電路以實現(xiàn)不同的設(shè)計。這種集成電路的編程是通過使用EDA工具進行特殊編程來完成的。
2022-03-22 12:36:245304

可編程邏輯器件EPLD是如何設(shè)計的

可編程邏輯器件(Electrically Programmable Logic Device,EPLD)是指采用電信號的可擦可編程邏輯器件
2022-08-22 18:12:37935

Zynq UltraScale+ 率先通過汽車功能安全全面認證

我們很高興宣布,我們已經(jīng)完成了 Zynq UltraScale+ 全功耗域( FPD )和可編程邏輯( PL )功耗域的功能安全認證。由此,我們的 Zynq UltraScale+ 器件率先成為全面
2022-11-17 09:54:13591

可編程邏輯器件測試方法

門陣列 ( Field Programmable Gate Array, FPGA)器件等。隨著可編程器件的發(fā)展,可以將 CPU、DSP、ADC/DAC、存儲器等集成到一個可編程器件上,從而構(gòu)成可編程系統(tǒng)芯片 (System On Programmable Chip, SoPC),如圖所示。
2023-06-06 15:35:59659

可編程邏輯器件測試

可編程邏輯器件 (Programmable Loeie Device,PLD)是一種用戶編程實現(xiàn)某種邏輯功能的邏輯器件,主要由可編程的與陣列、或陣列、門陣列等組成,可通過編程來實現(xiàn)一定的邏輯功能。
2023-06-06 15:37:45405

什么叫可編程邏輯器件 可編程邏輯器件有哪些特征和優(yōu)勢?

可編程邏輯器件(Programmable Logic Device,PLD)是一類集成電路器件,可以根據(jù)用戶的需求進行編程和配置,以實現(xiàn)特定的邏輯功能。它們具有可編程的邏輯門、時鐘資源和互連結(jié)構(gòu),可以替代傳統(tǒng)的固定功能邏輯芯片,提供更靈活和可定制的解決方案。
2023-09-14 15:25:551108

基于FPGA和TMS320C40 DSP可編程通用信號處理背板設(shè)計

電子發(fā)燒友網(wǎng)站提供《基于FPGA和TMS320C40 DSP可編程通用信號處理背板設(shè)計.pdf》資料免費下載
2023-11-08 14:54:020

簡單認識現(xiàn)場可編程門陣列

( Complex Programmable Logic Device)等可編程器件的基礎(chǔ)上,發(fā)展成的一種半定制化集成電路芯片,它具有硬件可編程的特點。
2023-12-01 09:25:15318

可編程器件的特點和發(fā)展歷程

可編程器件(Programmable devices)是一種集成電路,可以在生產(chǎn)過程中通過編程改變其功能和性能。它們具有的特點和發(fā)展歷程可以追溯到20世紀60年代的早期靜態(tài)隨機存儲器(SRAM
2023-12-21 17:19:43299

可編程邏輯器件的特征及優(yōu)勢科普

可編程邏輯器件是一種集成電路,具有可編程功能的特性。它們可以根據(jù)用戶的需求進行編程,從而實現(xiàn)不同的邏輯功能。
2024-02-26 18:24:03576

已全部加載完成