電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>Xilinx VDMA 24位流輸出與32位AXI總線的內(nèi)存流數(shù)據(jù)關(guān)系

Xilinx VDMA 24位流輸出與32位AXI總線的內(nèi)存流數(shù)據(jù)關(guān)系

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

Xilinx zynq AXI總線全面解讀

AXI (Advanced eXtensible Interface) 本是由ARM公司提出的一種總線協(xié)議, Xilinx從 6 系列的 FPGA 開始對(duì) AXI 總線提供支持,目前使用 AXI
2020-12-04 12:22:446179

ARM+FPGA開發(fā):基于AXI總線的GPIO IP創(chuàng)建

構(gòu)成的傳輸數(shù)據(jù)的通道, 一般由數(shù)據(jù)線、地址線、 控制線構(gòu)成。?Xilinx從6系列的 FPGA 開始對(duì) AXI 總線提供支持, 此時(shí) AXI 已經(jīng)發(fā)展到
2020-12-25 14:07:022957

AXI VDMA可以工作,但是少數(shù)線路不正確,總是丟包

大家好我在Zedboard上遇到了AXI Video DMA的問題。我正在嘗試在zedboard上進(jìn)行簡單的vdma測試演示。這是我的步驟。我想發(fā)送自己的圖片(每像素32)進(jìn)行FPGA處理。我將自
2019-03-01 12:26:32

AXI FIFO和AXI virtual FIFO這兩個(gè)IP的使用方法

的 DDR 內(nèi)存位置。該數(shù)據(jù)可以通過觀察 VivadoILA 來對(duì)比。輸出路徑需要 AXI Stream FIFO 斷言 Tready 信號(hào)。為此,我們需要使用 MicroBlaze 上運(yùn)行的軟件配置
2022-11-04 11:03:18

AXI-stream數(shù)據(jù)傳輸過程

數(shù)據(jù)流標(biāo)識(shí)符。xilinx封裝的ip中沒有此信號(hào)?! ?.TDEST 用于提供路由信息,xilinx封裝的ip中沒有此信號(hào)?! ?0.TUSER AXI4協(xié)議留給用戶自定義的。xilinx封裝的ip中沒有
2021-01-08 16:52:32

AXI4S接口視頻協(xié)議在視頻IP中的應(yīng)用總結(jié)

14(RAW14,VF代碼12),每個(gè)數(shù)據(jù)拍兩個(gè)像素,每個(gè)元件總線14注意事項(xiàng):雖然RAW14可能僅使用較低的28,但完整的AXI4S接口仍然是88,因?yàn)樵谔幚韯?dòng)態(tài)TDATA時(shí),如果需要,它
2022-11-14 15:15:13

AXI總線的相關(guān)資料下載

AXI總線學(xué)習(xí)AXI協(xié)議的主要特征主要結(jié)構(gòu)通道定義讀寫地址通道讀數(shù)據(jù)通道寫數(shù)據(jù)通道寫操作回應(yīng)信號(hào)接口和互聯(lián)寄存器片基本傳輸Read burstOverlapping read burstWrite
2022-02-09 07:17:23

AXI數(shù)據(jù)包傳輸問題

嗨eveyone,我是這個(gè)論壇的新人。如果我弄錯(cuò)了,我道歉。我正在嘗試使用AXI Stream協(xié)議傳輸數(shù)據(jù)包。這些數(shù)據(jù)包包括512 * 32位數(shù)據(jù)。使用1 kHz時(shí)鐘使能發(fā)送重新生成的數(shù)據(jù)包。我
2019-04-15 13:51:29

AXIFIFO掛起

我正在使用AXIFIFO將數(shù)據(jù)流式傳輸?shù)絉x端,最終也將通過AXI總線從處理器讀回。當(dāng)我嘗試讀取“base_address + 0x1C”時(shí),系統(tǒng)掛起......以前有人遇到過這種情況嗎?在閱讀
2019-04-24 12:54:04

AXI接口協(xié)議詳解

。  AXI4-Stream:(For high-speed streamingdata.)面向高速數(shù)據(jù)傳輸;去掉了地址項(xiàng),允許無限制的數(shù)據(jù)突發(fā)傳輸規(guī)模?! tream的理解,可以想象一下
2022-10-14 15:31:40

AXI接口協(xié)議詳解

,只不過是專門針對(duì)視頻、圖像等二維數(shù)據(jù)的。除了上面的還有一個(gè)AXI-CDMA IP核,這個(gè)是由PL完成的將數(shù)據(jù)內(nèi)存的一個(gè)位置搬移到另一個(gè)位置,無需CPU來插手。上面的IP是完成總線協(xié)議轉(zhuǎn)換,如果需要
2022-04-08 10:45:31

AXi數(shù)據(jù)寬度轉(zhuǎn)換器的Tuser和Tlast無法正常鎖定

嗨,我從攝像機(jī)接收視頻信號(hào)。它在一個(gè)時(shí)鐘內(nèi)發(fā)送十個(gè)像素的灰度等級(jí)。因此它發(fā)送80。我將它通過vid傳遞給axi,因此m_axi_vid_tdata是80。由于我想在此之后使用axiips,我
2019-04-08 08:02:13

Xilinx ML310 EDK基礎(chǔ)設(shè)計(jì)在串口上沒有指定的輸出

使用ISE / EDK 9.1i 32。我已經(jīng)完成了教程并生成了比特,將其下載到電路板上,但我沒有在串口上看到指定的輸出。我知道串口工作正常,因?yàn)槲铱吹組L310診斷/ ACE-loader
2019-09-11 10:02:18

Xilinx是否有用于比特加密的文檔

你好,我只是想知道Xilinx是否有用于比特加密的文檔(或教程)。 UG191的第33-35頁有一些簡短的說明,但我不知道Xilinx是否喜歡逐步實(shí)施。謝謝。強(qiáng)
2020-06-15 13:39:44

axi4-stream combiner問題的解決辦法?

我嘗試使用theaxi4-stream combiner將兩個(gè)16組合成一個(gè)32,但是有些問題。以下是PG085:TDATA Width(bytes)此參數(shù)指定每個(gè)
2020-08-20 14:36:50

數(shù)據(jù)總線寬度可以配置為24嗎?

是否可以將數(shù)據(jù)總線寬度配置為24?我檢查了8, 16和32之間的差異,只改變了最后8中的第七十九行(CyuU3pIPIBGPGIFIFBuxCONFIG)。我需要24位數(shù)據(jù)寬度和SPI為我的啟動(dòng)從SPI閃存(固件)。如果我查看數(shù)據(jù)表中的PIN表,可以看到數(shù)據(jù)總線和SPI總線之間沒有交叉點(diǎn)。
2019-08-21 09:02:22

輸出視頻發(fā)現(xiàn)有增強(qiáng)但沒有獲得彩色圖像的原因是什么?

ipcore,VDMAAXI4-視頻輸出。輸入視頻來自具有PAL輸出的視頻源,分辨率為576 * 720 @ 27MHz。從PAL相機(jī)捕獲并由解碼器接收的輸入視頻和來自16位數(shù)據(jù)的視頻在axi4ipcore
2020-08-10 08:48:04

AD7760兩種數(shù)據(jù)輸出模式調(diào)制器下為何只有16?輸入與輸出對(duì)應(yīng)的關(guān)系是什么?

AD7760兩種數(shù)據(jù)輸出模式:調(diào)制器下為何只有16?輸入與輸出對(duì)應(yīng)的關(guān)系是什么?
2023-12-13 07:50:05

ADV7619在SDR模式下輸出4K 30Hz的48bit rgb數(shù)據(jù)問題

我這里的板子用ADV7619芯片在輸入端輸入4K@30Hz的視頻,輸出端在SDR模式下,輸出48bit的RGB444數(shù)據(jù)流,最后輸入到FPGA芯片做圖像處理。我的問題是,這個(gè)48bit的RGB數(shù)據(jù)流是相鄰24奇數(shù)像素和24偶數(shù)像素的組合嗎
2018-11-20 17:22:15

AMBA 4 AXI4、AXI4-Lite和AXI4-協(xié)議斷言用戶指南

您可以將協(xié)議斷言與任何旨在實(shí)現(xiàn)AMBA?4 AXI4的接口一起使用?, AXI4 Lite?, 或AXI4? 協(xié)議通過一系列斷言根據(jù)協(xié)議檢查測試接口的行為。 本指南介紹SystemVerilog
2023-08-10 06:39:57

AMBA AXI協(xié)議規(guī)范

本章描述了AXI協(xié)議,并給出了一些類型的例子。
2023-08-08 07:54:37

CAN總線定時(shí)的設(shè)定方法

的運(yùn)行規(guī)則以及如何對(duì)位定時(shí)的參數(shù)進(jìn)行設(shè)置。關(guān)鍵詞:總線 定時(shí) 同步 延遲1. 前言CAN總半導(dǎo)體器件應(yīng)用技術(shù)論壇http://bbsic.big-bit.com線的數(shù)據(jù)傳輸速率最高可達(dá)1Mbit/s
2012-10-11 17:19:06

CAN總線信號(hào)傳輸?shù)?b class="flag-6" style="color: red">位定時(shí)與同步理論

CAN協(xié)議與其它現(xiàn)場總線協(xié)議的區(qū)別中有一個(gè)是:它使用同步數(shù)據(jù)傳輸而不是異步傳輸(面向字符)。這意味著傳輸性能得到更有效的發(fā)揮,但是另一方面,這需要更加復(fù)雜的同步方法。 在面向字符的協(xié)議中的同步
2016-08-15 15:59:45

CAN通信控制的位數(shù)據(jù)流處理器—Bit Stream Processor

數(shù)據(jù)流處理器負(fù)責(zé)完成程序中所有有關(guān)數(shù)據(jù)的操作。位數(shù)據(jù)流處理器實(shí)際上就是一個(gè)序列發(fā)生器,它控制發(fā)送緩沖器、接收 FIFO 和 CAN 總線之間的數(shù)據(jù)流,同時(shí)它也執(zhí)行錯(cuò)誤檢測、仲裁、填充和 CAN
2018-12-21 18:19:17

Camera Link 28信號(hào)映射如何連接數(shù)據(jù)位

任何人都可以描述24RGB數(shù)據(jù)流FVAL,LVAL和DE如何連接到SelectIO IP上的28輸入“data_out_from_device [27:0]”? IP具有進(jìn)行Camera
2019-03-18 14:59:18

DMA內(nèi)部寄存器的讀寫方式和應(yīng)用場合

等設(shè)備通過AXI Interconmect互聯(lián)。CDMA控制著一個(gè)內(nèi)存映射空間到另一個(gè)內(nèi)存映射空間的數(shù)據(jù)傳輸?! 。?) AXI Video DMA    圖4?42 AXI VDMA  在此系統(tǒng)中
2020-12-23 17:48:04

FPGA bit文件解析?有高手會(huì)的嗎,請(qǐng)指教。

各位大神:根據(jù)FPGA bit文件,對(duì)其配置內(nèi)容進(jìn)行解析,以及反解,有高手請(qǐng)指教!感謝!
2019-05-23 15:48:48

FPGA中的除法運(yùn)算及初識(shí)AXI總線

除數(shù)和商通道以及必要的時(shí)鐘和復(fù)位邏輯接口。每個(gè)AXI總線通道總是包括tdata tuser tlast 和握手信號(hào)tvalid tready,其中tuser為附加信息,tlast表示模式下最后一個(gè)數(shù)據(jù)
2018-08-13 09:27:32

IP definition not found for VLNV: xilinx.com:ip:axi_vdma:6.2 ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.要如何解決呢

: xilinx.com:ip:axi_vdma:6.2 ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors. while
2020-10-06 22:22:12

JTAG-to_AXI IP創(chuàng)建了具有8個(gè)32位數(shù)據(jù)是真是假?

查看2015年11月發(fā)布的JTAG-to_AXI IP產(chǎn)品指南(PG174)(據(jù)我所知,最新版本)第19頁,它顯示了創(chuàng)建“具有8個(gè)32位數(shù)據(jù)”的寫AXI突發(fā)事務(wù)的示例。這不可能是正確的。這看起來只
2020-05-20 09:11:18

LabVIEW中的數(shù)據(jù)流編程基礎(chǔ)

  LabVIEW按照數(shù)據(jù)流(dataflow)模式運(yùn)行VI。 當(dāng)接受到所有所需的輸入時(shí),程序框圖節(jié)點(diǎn)將運(yùn)行。節(jié)點(diǎn)在運(yùn)行時(shí)產(chǎn)生輸出數(shù)據(jù)并將該數(shù)據(jù)傳送給數(shù)據(jù)流路徑中的下一個(gè)節(jié)點(diǎn)。 數(shù)據(jù)流經(jīng)節(jié)點(diǎn)的過程
2018-11-20 10:47:21

LabVIEW用NI-DAQmx高速數(shù)據(jù)流

個(gè)非常簡單的數(shù)據(jù)壓縮方式,即將樣本末端的省略掉,并將得到的樣本封裝到內(nèi)存。開發(fā)人員可將NI-DAQmx配置為省略樣本中的一個(gè)或多個(gè)最低有效。例如,可以將具有24分辨率和32采樣容量的通道配置為
2022-06-10 21:08:17

ZYNQ & AXI總線 & PS與PL內(nèi)部通信(用戶自定義IP)

data.)面向高速數(shù)據(jù)傳輸;去掉了地址項(xiàng),允許無限制的數(shù)據(jù)突發(fā)傳輸規(guī)模。AXI4總線AXI4-Lite總線具有相同的組成部分:(1)讀地址通道,包含ARVALID, ARADDR, ARREADY
2018-01-08 15:44:39

zynq上的AXI視頻直接內(nèi)存訪問v6.2 IP

端口從PS DDR獲取3840x2160 @ 24Hz或@ 30Hz或@ 60Hz的視頻分辨率嗎?我們需要改變AXI VDMA的頻率嗎?對(duì)于1080p,我們使用150Mhz。謝謝,拉梅什以上來自于谷歌
2019-04-09 06:10:12

【正點(diǎn)原子FPGA連載】第二十一章OV7725攝像頭LCD顯示-領(lǐng)航者ZYNQ之嵌入式開發(fā)教程

。Video in to AXI4-Stream IP核的輸入端口為視頻數(shù)據(jù)流,而OV7725攝像頭輸出數(shù)據(jù)為行場同步信號(hào)控制的8位數(shù)據(jù),這兩個(gè)端口不可以直接連接,需要先經(jīng)過數(shù)據(jù)的轉(zhuǎn)換才能連接,因此本次實(shí)驗(yàn)
2020-09-05 15:42:58

【正點(diǎn)原子FPGA連載】第十八章PS通過VDMA驅(qū)動(dòng)LCD顯示實(shí)驗(yàn)領(lǐng)航者ZYNQ之嵌入式開發(fā)教程

LCD接口時(shí)序的IP核。ZYNQ中提供了AXI4-Stream to Video Out IP核,可以將VDMA輸出AXI4-Stream數(shù)據(jù)流轉(zhuǎn)換成視頻協(xié)議的數(shù)據(jù)流(包括并行數(shù)據(jù)、視頻同步信號(hào)等
2020-09-04 11:15:28

一文詳解MPSoC芯片

AXI-Stream適用的場合有很多:視頻處理;通信協(xié)議轉(zhuǎn)換;數(shù)字信號(hào)處理;無線通信等。其本質(zhì)都是針對(duì)數(shù)值構(gòu)建的數(shù)據(jù)通路,從信源(例如ARM內(nèi)存、DMA、無線接收前端等)到信宿(例如HDMI顯示器、高速AD音頻輸出
2021-01-07 17:11:26

一種基于PCI IP核的碼接收卡的設(shè)計(jì)

,它是本設(shè)計(jì)的核心部分,對(duì)輸入的ASI信號(hào)保留有效的DVB傳輸,發(fā)送到FIFO輸入端進(jìn)行緩存。并將FIFO緩存后輸出數(shù)據(jù)用DMA傳輸方式通過PCI總線實(shí)現(xiàn)對(duì)PC內(nèi)存的存取,同時(shí)利用FIFO的標(biāo)志信號(hào)
2012-11-28 15:38:05

什么會(huì)導(dǎo)致VDMAAXI視頻直接存儲(chǔ)器訪問)的S2MM中的幀計(jì)數(shù)器中斷?

我使用VDMA,AXI互連器和DDR3進(jìn)行了設(shè)計(jì),以實(shí)現(xiàn)視頻幀緩沖。我遇到了一個(gè)問題,即在完成第一幀之后,VDMA卡在第二幀的開頭。我通過AXI lite讀取了VDMA的寄存器來檢查狀態(tài):34h
2019-03-14 15:28:45

使用xilinxaxi pcie如何清除中斷標(biāo)志?

目前使用的axi pcie 采用legacy中斷。中斷產(chǎn)生后如何清楚標(biāo)志。。?文檔說相偏移0x138寫1就可以。可是為什么還是有中斷請(qǐng)求。???
2014-12-06 18:56:52

幾種DMA的典型應(yīng)用場合介紹

Interconmect互聯(lián)。CDMA控制著一個(gè)內(nèi)存映射空間到另一個(gè)內(nèi)存映射空間的數(shù)據(jù)傳輸。(3) AXI Video DMA圖4?42 AXI VDMA在此系統(tǒng)中,AXI VDMA與處理器等其他設(shè)備通過
2022-10-14 15:23:41

可以從AXI端口獲取輸出采樣數(shù)據(jù)嗎?

想知道,如果我啟用AXI4Stream,我可以從AXI端口(m_axis_tdata)獲取輸出采樣數(shù)據(jù)嗎?或者它仍然只能從DRP端口獲取。配置如下圖所示。問候穆罕默德·
2020-05-20 14:53:11

基于Kintex-7 FPGA評(píng)估板、TL2971A/2972F視頻模塊的3G-SDI視頻輸入/輸出開發(fā)案例

VDMA IP核本案例使用VDMA IP核進(jìn)行視頻數(shù)據(jù)緩存。VDMA(AXI Video Direct Memory Access) IP核開發(fā)文檔為產(chǎn)品資料“6-開發(fā)參考資料\Xilinx官方參考文檔
2021-02-04 20:09:22

基于PCI IP核的碼接收卡的設(shè)計(jì)

流經(jīng)同軸電纜進(jìn)入DVB碼輸入模塊,轉(zhuǎn)換為8并行輸出。核心控制模塊對(duì)并行數(shù)據(jù)進(jìn)行緩存,并采用DMA方式傳輸給PCI總線,完成本地總線和PCI總線的可靠通信。DVB碼輸入模塊本文選用ASI接口。ASI
2018-12-07 10:34:34

如何從32位數(shù)據(jù)總線轉(zhuǎn)換為64位數(shù)據(jù)總線

我必須為具有64位數(shù)據(jù)總線(ARM11,Cortex-R4)的ARM處理器編寫C程序,然后再執(zhí)行一些仿真(Verilog)。到目前為止,我僅使用具有32寬AHB數(shù)據(jù)總線(ARM9)的處理器。當(dāng)我從
2022-09-30 10:50:58

如何使用Xilinx AXI VIP對(duì)自己的設(shè)計(jì)搭建仿真驗(yàn)證環(huán)境的方法

接口進(jìn)行仿真驗(yàn)證),提前規(guī)避和發(fā)現(xiàn)一些不滿足AXI總線規(guī)范的設(shè)計(jì)問題。本文就跟大家分享如何使用Xilinx AXI VIP對(duì)自己的設(shè)計(jì)搭建仿真驗(yàn)證環(huán)境的方法。本文參考的Xilinx官方文檔為
2022-10-09 16:08:45

如何使用Xilinx IP Catalog實(shí)例化了QSPI模塊?

比特文件:False 加載數(shù)據(jù)文件:True 起始地址:00000000 方向:向上 數(shù)據(jù)文件:code.mem(這是一個(gè)hex文件,每行有64,行數(shù)= 65536) 寫校驗(yàn)和:錯(cuò)誤 禁用交換
2020-06-09 09:42:44

如何使用axi tpg和axi vdma?

嗨,我將使用axi tpg和axi vdma在zedboard上的ddr3中編寫幀數(shù)據(jù),但我不知道,如何在vivado 2014.4和我的項(xiàng)目的sdk c應(yīng)用程序代碼中設(shè)計(jì)vivado塊設(shè)計(jì),請(qǐng)幫幫我是zedboard的新用戶。
2020-04-06 17:25:15

如何在64位數(shù)據(jù)總線上進(jìn)行讀寫操作

AXI數(shù)據(jù)總線實(shí)際上是64寬的呢!那么我如何在64位數(shù)據(jù)總線上進(jìn)行讀/寫操作呢?謝謝,--Rudy以上來自于谷歌翻譯以下為原文Hi, In the SDK environment, if I am
2019-04-19 09:11:39

如何將兩個(gè)VDMA的同步鎖相模式和Axi的定時(shí)模式設(shè)置為視頻OUTIP?

0->定標(biāo)器 - > VDMA1 - > Axi至視頻輸出 - > HDMI輸出|| | | ||VTC0DDR3 DDR3 VTC1我想知道如何將兩個(gè)VDMA的同步鎖相模式和Axi的定時(shí)
2019-11-08 06:02:55

如何手動(dòng)設(shè)置讀/寫使用AXI總線注冊測試接口代碼?

我有一個(gè)simpleregister讀/寫/重置測試接口代碼(在VHDL中),我想與我的頂級(jí)處理系統(tǒng)7wrapper代碼鏈接。我想使用AXI總線協(xié)議對(duì)寄存器進(jìn)行讀/寫/復(fù)位。實(shí)際上,我的測試接口
2019-09-09 10:03:44

如何設(shè)置AXI視頻DMA以處理FSYNC

你好最近,我遇到了關(guān)于axi vdma fsync設(shè)置的問題。在我的項(xiàng)目中,我想使用一個(gè)AXI VDMAAXI Pcore來加速Zedboard上的算法。我的數(shù)據(jù)流是DDR-> VDMA
2019-02-27 11:36:34

如何設(shè)計(jì)一種具有CAN總線接口的24稱重數(shù)據(jù)采集系統(tǒng)?

采用具有24∑-△型A/D轉(zhuǎn)換器的系統(tǒng)級(jí)單片機(jī)MSC1210結(jié)合低成本的供電解決方案與CAN控制器SJA1000以及CAN總線收發(fā)器82C250,設(shè)計(jì)一種具有CAN總線接口的24稱重數(shù)據(jù)采集系統(tǒng),可應(yīng)用于組合稱重設(shè)備、選別設(shè)備。
2021-04-14 06:15:25

定制的32AXI Master通過Zynq上的PS7 HP-0從PL到DDR內(nèi)存進(jìn)行訪問讀數(shù)據(jù)不正確

我正在做四個(gè)32位數(shù)據(jù)AXI從地址0x1000_0000開始寫入DDR存儲(chǔ)器,然后是四個(gè)32位數(shù)據(jù)AXI讀取。讀數(shù)據(jù)不正確(即每隔一個(gè)字重復(fù)一次)。AXI地址AXI數(shù)據(jù)AXI數(shù)據(jù)
2018-10-29 14:11:54

怎樣去更改給源代碼中的USB音頻分辨率呢?

您好,我計(jì)劃將 X-Nucleo-cca02m2 板與 nucleo-f401re 一起用于音頻。我找到了 en.x-cube-memsmic1_v5.5.0 示例代碼,其中發(fā)現(xiàn) USB 音頻分辨率為 16 。但我的要求是 24 分辨率。更改給定源代碼中的分辨率是否有效?
2022-12-16 06:59:46

是否可以使用AXI4以某種方式從收發(fā)器中提取輸入數(shù)據(jù)

大家好。我目前正在使用GTH收發(fā)器實(shí)現(xiàn)更復(fù)雜的設(shè)計(jì),這些收發(fā)器工作在2.8 GHz(5.6GB),我想知道我是否可以使用AXI4以某種方式從收發(fā)器中提取輸入數(shù)據(jù)。有沒有辦法將數(shù)據(jù)寫入內(nèi)存
2019-05-05 13:14:10

玩轉(zhuǎn)Zynq連載37——[ex56] 基于Zynq的AXI HP總線讀寫實(shí)例

HP總線。PL作為AXI HP主機(jī),可以通過這4條總線實(shí)現(xiàn)對(duì)內(nèi)存(DDR3)的讀寫訪問,這4條總線加總的極限帶寬,通常能夠超過DDR3的最大有效帶寬,因此,對(duì)于處理器與PL之間的數(shù)據(jù)交互,Zynq
2019-11-26 09:47:20

玩轉(zhuǎn)Zynq連載3——AXI總線協(xié)議介紹1

● 基于特定地址進(jìn)行的突發(fā)傳輸●通過獨(dú)立的讀和寫通道實(shí)現(xiàn)低成本直接內(nèi)存訪問(DMA)●支持無序數(shù)據(jù)傳輸●提供多級(jí)寄存器鎖存的支持,實(shí)現(xiàn)更好的時(shí)序收斂 1.1 AXI版本介紹AXI協(xié)議是Xilinx從6系列
2019-05-06 16:55:32

看看在SpinalHDL中AXI4總線互聯(lián)IP的設(shè)計(jì)

,ar)共用一組信號(hào)的接口(arw,w,b,r)。關(guān)于總線互聯(lián)的設(shè)計(jì)凡是設(shè)計(jì)中用到Axi4總線的設(shè)計(jì)總離不開總線互聯(lián)。在Xilinx FPGA使用中,VIvado針對(duì)Axi4總線提供了豐富的IP,對(duì)于
2022-08-02 14:28:46

研究labview的數(shù)據(jù)流

我在NI上下載了labview一個(gè)程序,運(yùn)行起來還有點(diǎn)小問題,我想運(yùn)行 看看他的數(shù)據(jù)流,深入的研究下,哪位大神能指導(dǎo)下,怎么增加個(gè)仿真信號(hào) 和輸出采集,這樣能清楚的看到其整個(gè)數(shù)據(jù)流的過程,謝謝~
2013-12-31 10:40:43

請(qǐng)問AXI-4接口有沒有可用的地址線?

的發(fā)送引擎。#1。根據(jù)“表2-2:AXI4-接口端口 - 發(fā)送”&在美國的其他相關(guān)部分,我看到這個(gè)AXI-4接口沒有可用的地址線。我錯(cuò)過了什么嗎?我想知道為什么在這個(gè)接口上沒有地址線的原因
2020-04-28 10:00:42

請(qǐng)問LT8390過保護(hù)是輸出端的嗎?輸入端過保護(hù)應(yīng)該如何解決?

你好,請(qǐng)問LT8390過保護(hù)是輸出端的嗎?輸入端過保護(hù)應(yīng)該如何解決?請(qǐng)指引。 案例1:使用LT8390做的板為電池充電,調(diào)整的參數(shù)是12V或24V輸入,14V輸出,電流25-30A給電池充電
2024-01-03 06:28:25

請(qǐng)問光流傳感器輸出的dx,dy的16位數(shù)據(jù)指的是什么值?

我買了一個(gè)原子剛出的光模塊搭載在配套的小四軸上,感覺飛的很穩(wěn),最近在看光的程序,有一點(diǎn)不太明白,光流傳感器輸出的dx,dy的16位數(shù)據(jù)指的是什么值?dx,dy應(yīng)該是x和y方向的位移,用十六位數(shù)據(jù)表示的是什么值?有哪位大神可以回答一下嗎?
2019-07-17 02:11:53

請(qǐng)問可以使用AXI-Stream Broadcaster作為AXI開關(guān)嗎?

我們可以使用AXI-Stream Broadcaster作為AXI開關(guān)嗎?如果可能,我們需要控制切換哪個(gè)信號(hào)?我想開發(fā)小型應(yīng)用程序,它涉及廣播AXI數(shù)據(jù)并將AXI數(shù)據(jù)切換到特定的從站。在這個(gè)應(yīng)用程序中,我們只有一個(gè)主站和8個(gè)從站。我們想在從站之間切換流數(shù)據(jù)。提前致謝。
2020-05-07 09:42:16

請(qǐng)問如何擴(kuò)展AXI VDMA幀緩沖器?

為了減少VDMA調(diào)用的數(shù)量,我需要一個(gè)更大的幀緩沖32.我想擴(kuò)展它,但我沒有找到任何源文檔或驗(yàn)證測試平臺(tái)。有人可以推薦一種方法嗎?我還考慮過使用AXI DMA。使用分辨率,我可以緩沖超過32幀,但仍然不夠。感謝您的支持!
2020-04-27 08:05:13

請(qǐng)問是否有其他VDMA參數(shù)需要從默認(rèn)值更改?

大家好,我開發(fā)了一個(gè)基于VDMA的系統(tǒng),可以將圖像從DDR輸出到視頻輸出是1280x720 @ 60fps。然后,我復(fù)制VDMA有兩個(gè)視頻輸出,它工作正常。需要擴(kuò)展此系統(tǒng)以達(dá)到4個(gè)視頻,我
2019-07-11 13:24:17

請(qǐng)問脈寬為400NS的并列8位數(shù)據(jù)流怎么采集?

我現(xiàn)在有一個(gè)數(shù)據(jù)要采集,就是這個(gè)變化的時(shí)間太短,當(dāng)一個(gè)使能信號(hào)觸發(fā)MCU動(dòng)作及到MCU讀到IO(8)的數(shù)據(jù),整個(gè)從觸發(fā)到讀取周期只400NS,用單片機(jī),不管是多少的?能準(zhǔn)確采集到這個(gè)數(shù)據(jù)流
2019-09-17 09:11:11

采用PCI IP核實(shí)現(xiàn)碼接收卡設(shè)計(jì)

傳輸流經(jīng)同軸電纜進(jìn)入DVB碼輸入模塊,轉(zhuǎn)換為8并行輸出。核心控制模塊對(duì)并行數(shù)據(jù)進(jìn)行緩存,并采用DMA方式傳輸給PCI總線,完成本地總線和PCI總線的可靠通信。DVB碼輸入模塊 本文選用ASI接口
2019-05-05 09:29:32

基于AXI總線的MicroBlaze雙核SoPC系統(tǒng)設(shè)計(jì)

目的是利用嵌入在Xilinx FPGA中的MicroBlaze核實(shí)現(xiàn)基于AXI總線的雙核嵌入式系統(tǒng)設(shè)計(jì)以及共享實(shí)現(xiàn)LED燈的時(shí)控.
2012-03-09 14:17:0191

AMBA AXI總線學(xué)習(xí)筆記

AMBA AXI 總線學(xué)習(xí)筆記,非常詳細(xì)的AXI總線操作說明
2015-11-11 16:49:3311

AXI 總線和引腳的介紹

1、AXI 總線通道,總線和引腳的介紹 AXI接口具有五個(gè)獨(dú)立的通道: (1)寫地址通道(AW):write address channel (2)寫數(shù)據(jù)通道( W): write data
2018-01-05 08:13:479601

如何使用Xilinx AXI進(jìn)行驗(yàn)證和調(diào)試

了解如何使用Xilinx AXI驗(yàn)證IP有效驗(yàn)證和調(diào)試AXI接口。 該視頻回顧了使用的好處,以及如何使用示例設(shè)計(jì)進(jìn)行模擬。
2018-11-20 06:38:003561

PCIE通信技術(shù):通過AXI-Lite ip配置的VDMA使用

XDMA是Xilinx封裝好的PCIE DMA傳輸IP,可以很方便的把PCIE總線上的數(shù)據(jù)傳輸事務(wù)映射到AXI總線上面,實(shí)現(xiàn)上位機(jī)直接對(duì)AXI總線進(jìn)行讀寫而對(duì)PCIE本身TLP的組包和解包無感。
2020-12-28 10:17:232692

你必須了解的AXI總線詳解

通道 AXI-HP----AXI-Stream 的轉(zhuǎn)換,只不過這次是完全由 PL 控制的, PS 是完全被動(dòng)的。 AXI-VDMA:實(shí)現(xiàn)從 PS 內(nèi)存
2020-10-09 18:05:576391

ZYNQ中DMA與AXI4總線

ZYNQ中DMA與AXI4總線 為什么在ZYNQ中DMA和AXI聯(lián)系這么密切?通過上面的介紹我們知道ZYNQ中基本是以AXI總線完成相關(guān)功能的: 圖4?34連接 PS 和 PL 的 AXI 互聯(lián)
2020-11-02 11:27:513880

Xilinx AXI Interconnect

在 AMBA 系列之 AXI 總線協(xié)議初探 中,了解到 AXI 總線交互分為 Master / Slave 兩端,而且標(biāo)準(zhǔn)的 AXI 總線支持不同的位寬,既然是總線,那么必須要支持總線互聯(lián),多 Master,多 Slave的場景
2021-02-23 06:57:0045

對(duì)AXI總線知識(shí)詳解解析

AXI是個(gè)什么東西呢,它其實(shí)不屬于Zynq,不屬于Xilinx,而是屬于ARM。它是ARM最新的總線接口,以前叫做AMBA,從3.0以后就稱為AXI了。
2021-04-09 17:10:104970

AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核介紹

本文主要介紹關(guān)于AXI4-Stream Video 協(xié)議和AXI_VDMA的IP核相關(guān)內(nèi)容。為后文完成使用帶有HDMI接口的顯示器構(gòu)建圖像視頻顯示的測試工程做準(zhǔn)備。
2022-07-03 16:11:056846

AXI總線協(xié)議的簡單知識(shí)

關(guān)于AXI總線協(xié)議的一些簡單知識(shí),通過閱讀Xilinx的使用指導(dǎo)手冊(UG1037),結(jié)合正點(diǎn)原子的ZYNQ視頻進(jìn)行梳理總結(jié)。
2022-07-15 09:16:292230

AXI總線協(xié)議簡介

  AXI (高性能擴(kuò)展總線接口,Advanced eXtensible Interface)是ARM AMBA 單片機(jī)總線系列中的一個(gè)協(xié)議,是計(jì)劃用于高性能、高主頻的系統(tǒng)設(shè)計(jì)的。AXI協(xié)議是被優(yōu)化
2022-10-10 09:22:228632

使用AXI4總線實(shí)現(xiàn)視頻輸入輸出

Xilinx vivado下通常的視頻流設(shè)計(jì),都采用Vid In to axi4 stream --> VDMA write --> MM --> VDMA read -->
2022-10-11 14:26:034556

AXI VDMA IP 的高級(jí)用例

如果 STRIDE 等于 HSIZE,那么 AXI VDMA IP 會(huì)在沒有任何跳轉(zhuǎn)的情況下讀取幀緩存。但是,由于輸入大小大于輸出大小,我們需要在地址之間跳轉(zhuǎn)以便能夠正確地對(duì)齊下一行的開頭。
2023-02-15 11:25:24712

Xilinx FPGA AXI4總線(一)介紹【AXI4】【AXI4-Lite】【AXI-Stream】

從 FPGA 應(yīng)用角度看看 AMBA 總線中的 AXI4 總線。
2023-06-21 15:21:441729

AXI傳輸數(shù)據(jù)的過程

AXI4為例,有AXI full/lite/stream之分。 在Xilinx系列FPGA及其有關(guān)IP核中,經(jīng)常見到AXI總線接口,AXI總線又分為三種: ?AXI-Lite,AXI-Full以及
2023-10-31 15:37:08386

已全部加載完成