電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>Vivado+Zedboard之入門實(shí)例精選

Vivado+Zedboard之入門實(shí)例精選

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

玩轉(zhuǎn)賽靈思Zedboard開發(fā)板(1):ZedBoard詳解

電子發(fā)燒友網(wǎng)核心提示 :本文介紹了最新的Xilinx Zynq-7000 FPGA開發(fā)板 ZedboardZedboard是基于Xilinx Zynq-7000擴(kuò)展式處理平臺(tái)(EPP)的低成本開發(fā)板,也是行業(yè)首個(gè)面向開源社區(qū)的Zynq-7000擴(kuò)展
2012-11-26 15:09:0155135

玩轉(zhuǎn)賽靈思Zedboard開發(fā)板(6):如何在Zedboard上運(yùn)行l(wèi)inux下的應(yīng)用程序?

電子發(fā)燒友網(wǎng)編輯現(xiàn)為讀者整合《玩轉(zhuǎn)賽靈思Zedboard開發(fā)板》系列文章, 其中包括在ZedBoard開發(fā)板上的一些應(yīng)用實(shí)例。本文主要講述Zedboard上的嵌入式linux應(yīng)用,包括使用SDK設(shè)計(jì)最簡單的linux應(yīng)用程序、linux交叉編譯環(huán)境搭建、設(shè)備驅(qū)動(dòng)編寫等內(nèi)容...
2013-01-24 13:38:1816892

2019年5月“人工智能”主題精選資料合集

和crossin全60課)Python人工智能學(xué)習(xí)工具包+入門與實(shí)踐資料集錦人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版)MATLAB神經(jīng)網(wǎng)絡(luò)30個(gè)案例分析精選電子書:機(jī)器視覺詳解及人臉識(shí)別系統(tǒng)
2019-06-21 10:34:44

51單片機(jī)應(yīng)用開發(fā)案例精選

2部分(第2章~第3章)講解單片機(jī)開發(fā)的基礎(chǔ)知識(shí),通過精選20個(gè)單片機(jī)開發(fā)實(shí)例,介紹單片機(jī)開發(fā)的基本過程,使初學(xué)者能夠輕松入門;第3部分(第4章~第6章)講解單片機(jī)在實(shí)際開發(fā)中的應(yīng)用,詳細(xì)講解
2011-10-21 15:23:42

51單片機(jī)應(yīng)用開發(fā)案例精選(代碼及圖片)

個(gè)單片機(jī)開發(fā)實(shí)例,介紹單片機(jī)開發(fā)的基本過程,使初學(xué)者能夠輕松入門;第3部分(第4章~第6章)講解單片機(jī)在實(shí)際開發(fā)中的應(yīng)用,詳細(xì)講解數(shù)據(jù)采集、數(shù)據(jù)通信等8個(gè)實(shí)例,介紹單片機(jī)在各個(gè)領(lǐng)域的應(yīng)用以及簡易GPS
2019-01-09 16:16:36

Vivado 2016.4-2017.2在硬件管理器中崩潰

嗨,我是新來的,這似乎論壇的這一部分是我的問題的最佳位置。如果有更好的地方發(fā)布或移動(dòng)它,請(qǐng)告訴我。我已經(jīng)購買了一個(gè)Zedboard,并嘗試根據(jù)Zedboard論壇上的建議升級(jí)到更新版本的Vivado
2018-11-01 16:10:41

Vivado固化flash失敗

板子是買的開發(fā)板zedboard, ZYNQ-7020。Vivado在線調(diào)試沒問題,但在燒寫到flash時(shí),顯示燒寫失?。篢he current boot mode is QSPI.If flash
2017-09-24 21:58:47

ZedBoard Vivado許可證無效

Design Suite 14和Vivado Design Suite 2012上收到了軟件。我安裝了Vivado并嘗試創(chuàng)建一個(gè)新項(xiàng)目,我得到了“默認(rèn)部分”,您可以選擇要使用的板,并且列表中沒有ZedBoard
2019-03-12 13:49:36

Zedboard USB UART端口問題

Helo人,我一直在使用Zedboard進(jìn)行一些項(xiàng)目。我的USB_UART適配器與電路板斷開連接,無法再次連接。我聯(lián)系了Xilinx和Digilent,但根據(jù)它們無法修復(fù)?,F(xiàn)在有一個(gè)解決方案是買一個(gè)
2020-04-02 07:00:45

Zedboard與uboot

說明編譯完成后,會(huì)生成一個(gè)uboot文件,拷貝改名為uboot.elf。打開Vivado 2018.1(最新版本),新建工程,實(shí)現(xiàn)一個(gè)串口打印hello world!的工程,網(wǎng)上有,不多說。完成工程
2018-06-06 10:33:53

zedboard為什么spdif核心無法立即實(shí)現(xiàn)?不支持bistream生成

Hiteam,我有一個(gè)zedboardvivado 13.4許可證。一切都很好,我已經(jīng)成功實(shí)現(xiàn)了一些設(shè)計(jì)我調(diào)查了adau1761 ADC并試圖通過logico axi4lite spdif來提供它
2018-12-10 10:29:01

zedboard是否支持SDIO?

你好我已經(jīng)在zedboard上成功開發(fā)了SD / MMC驅(qū)動(dòng)程序,我的海量存儲(chǔ)應(yīng)用程序運(yùn)行正常。 zedboard是否支持SDIO?我正在為AR6K3無線驅(qū)動(dòng)程序工作。在開發(fā)SDIO驅(qū)動(dòng)程序時(shí),當(dāng)我
2019-03-14 07:59:03

入門到精通實(shí)例資料

入門到精通實(shí)例資料
2015-07-20 23:51:15

精選實(shí)用電工維修教程入門進(jìn)階到精通

精選實(shí)用電工維修教程入門進(jìn)階到精通干貨
2018-11-13 15:27:49

CC3200入門基本實(shí)例教程

`CC3200入門基本實(shí)例教程`
2016-02-22 14:20:05

DO-VIVADO-DEBUG-USB-II-G-FL

VIVADO DEBUG FLOATING LICENSE
2023-03-30 12:04:13

EF-VIVADO-DEBUG-FL

VIVADO DEBUG FLOATING LICENSE
2023-03-30 12:04:13

FPGA入門與典型實(shí)例例程

本帖最后由 eehome 于 2013-1-5 09:45 編輯 FPGA入門與典型實(shí)例例程
2012-08-20 23:11:48

FPGA入門:第一個(gè)工程實(shí)例設(shè)計(jì)輸入

FPGA入門:第一個(gè)工程實(shí)例設(shè)計(jì)輸入 本文節(jié)選自特權(quán)同學(xué)的圖書《FPGA/CPLD邊練邊學(xué)——快速入門Verilog/VHDL》書中代碼請(qǐng)?jiān)L問網(wǎng)盤:http://pan.baidu.com/s
2015-02-28 10:41:14

FPGA應(yīng)用開發(fā)入門與典型實(shí)例

FPGA應(yīng)用開發(fā)入門與典型實(shí)例
2017-04-21 12:47:01

FPGA應(yīng)用開發(fā)入門與典型實(shí)例

FPGA應(yīng)用開發(fā)入門與典型實(shí)例
2020-05-21 09:27:47

JAVA入門程序實(shí)例

JAVA入門程序實(shí)例實(shí)例90 screen小程序43實(shí)例91 文字跑馬燈與信息窗口44實(shí)例92 手機(jī)日歷45實(shí)例93 手機(jī)畫冊(cè)46實(shí)例94canvas繪圖程序47實(shí)例95 碰撞的小球48實(shí)例96
2008-12-06 12:54:38

LED照明驅(qū)動(dòng)電路設(shè)計(jì)與實(shí)例精選

《LED照明驅(qū)動(dòng)電路設(shè)計(jì)與實(shí)例精選》比較好的一本書
2014-03-12 16:57:47

LabView入門實(shí)例教程

LabView入門實(shí)例教程
2015-05-30 17:09:50

MicroBlaze控制LED入門【史上最詳細(xì)】精選資料推薦

MicroBlaze 控制LED入門——【史上最詳細(xì)】碼字截圖不易,轉(zhuǎn)載請(qǐng)注明標(biāo)題和作者,謝謝?。?!本教程是寫給以Xilinx官方開發(fā)板作為平臺(tái)的初學(xué)者本實(shí)例中開發(fā)環(huán)境:軟件平臺(tái):Win10專業(yè)版
2021-07-22 09:14:42

Pytorch入門的基本操作

Pytorch入門基本操作
2020-05-22 17:15:57

RT-Thread入門如何建立工程 stm32f103c8+hal庫

RT-Thread入門如何建立工程 stm32f103c8+hal庫
2021-10-13 09:06:52

STM32入門RCC與復(fù)位

【自我總結(jié)2】STM32入門RCC與復(fù)位【自我總結(jié)】STM32入門RCC與復(fù)位【自我總結(jié)2】STM32入門RCC與復(fù)位1、復(fù)位系統(tǒng)復(fù)位電源復(fù)位備份域復(fù)位2、時(shí)鐘
2021-08-20 07:48:00

Xilinx FPGA入門PLL實(shí)例的基本配置

Xilinx FPGA入門連載24:PLL實(shí)例基本配置 1 工程移植可以復(fù)制上一個(gè)實(shí)例sp6ex7的整個(gè)工程文件夾,更名為sp6ex8。然后在ISE中打開這個(gè)新的工程。 2 新建IP核文件
2019-01-21 21:33:40

Xilinx FPGA入門連載24:PLL實(shí)例基本配置

`Xilinx FPGA入門連載24:PLL實(shí)例基本配置特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1 工程移植可以復(fù)制上一個(gè)實(shí)例
2015-11-16 12:09:56

Xilinx FPGA入門連載36:倒車?yán)走_(dá)實(shí)例設(shè)計(jì)說明

`Xilinx FPGA入門連載36:倒車?yán)走_(dá)實(shí)例設(shè)計(jì)說明特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1 FPGA功能模塊本實(shí)例
2015-12-14 12:40:37

Xilinx FPGA無痛入門,海量教程免費(fèi)下載

SF-SP6入門指南 -- PLL實(shí)例基本配置Lesson27 特權(quán)Xilinx FPGA SF-SP6入門指南 -- PLL實(shí)例模塊化設(shè)計(jì)Lesson28 特權(quán)Xilinx FPGA SF-SP6
2015-07-22 11:49:20

labview入門實(shí)例教程

非?;A(chǔ)的labview入門實(shí)例教程
2021-01-07 09:51:16

labview經(jīng)典入門教程(附實(shí)例)

labview經(jīng)典入門教程(附實(shí)例)
2013-11-15 10:13:21

【Elecfans社區(qū)精華帖】(190509):【限時(shí)免費(fèi)領(lǐng)取】超值200G人工智能零基礎(chǔ)最全學(xué)習(xí)資料包

【專輯精選】機(jī)器學(xué)習(xí)算法教程與資料【限時(shí)免費(fèi)領(lǐng)取】超值200G人工智能零基礎(chǔ)最全學(xué)習(xí)資料包Altium Designer實(shí)用寶典PDF下載μModule電源神器——LTM8002,高效、降壓、超低
2019-05-09 18:36:51

【專輯精選】EDA軟件學(xué)習(xí)系列PADS教程與資料匯總

電子發(fā)燒友總結(jié)了以“PADS”為主題的精選干貨,今后每天一個(gè)主題為一期,希望對(duì)各位有所幫助?。c(diǎn)擊標(biāo)題即可進(jìn)入頁面下載相關(guān)資料)視頻教學(xué)資料:PADS9.5 零基礎(chǔ)快速入門PCB設(shè)計(jì)軟件實(shí)操及2層
2019-05-21 16:34:02

【專輯精選】FPGA教程書籍與設(shè)計(jì)實(shí)例資料

)Verilog HDL經(jīng)典黑金資料(入門教程+實(shí)例精講+百例設(shè)計(jì))FPGA軟件無線電開發(fā)(全階教程+開發(fā)板+實(shí)例)elecfans FPGA交流群:694593402
2019-04-29 17:45:39

【專輯精選】PCB設(shè)計(jì)教程與精選案例

規(guī)則與PCB設(shè)計(jì)實(shí)例(史上最全PCB入門教程)最新Altium Designer19入門教程:繪制stm32四層主板PCB設(shè)計(jì)教程
2019-05-14 18:09:23

【專輯精選】PID算法學(xué)習(xí)書籍資料匯總

:PID課程:一堂課幫你搞定PID算法《計(jì)算機(jī)體系結(jié)構(gòu)—軟件篇3》 解釋器、語言、算法精選資料:PID算法資料合集(PID調(diào)節(jié)控制、電機(jī)速度控制、PID理解)四軸無人機(jī)PID控制算法詳解(單環(huán)PID、串級(jí)PID)【書籍】由入門到精通,教你吃透PID算法STM32的PID算法實(shí)例
2019-05-30 19:32:08

【專輯精選】開關(guān)電源軟啟動(dòng)電路教程與設(shè)計(jì)資料

電子發(fā)燒友總結(jié)了以“開關(guān)電源軟啟動(dòng)電路”為主題的精選干貨,今后每天一個(gè)主題為一期,希望對(duì)各位有所幫助!(點(diǎn)擊標(biāo)題即可進(jìn)入頁面下載相關(guān)資料)開關(guān)電源常用保護(hù)電路-過熱、過流、過壓以及軟啟動(dòng)保護(hù)電路
2019-04-26 15:51:03

【技術(shù)精選】嵌入式STM32原創(chuàng)征文活動(dòng)精選文章

CC2530(zigbee)入門開發(fā): 看門狗C2530(zigbee)入門開發(fā): 低功耗模式CC2530(zigbee)入門開發(fā): ADC應(yīng)用CC2530(zigbee)入門開發(fā): 定時(shí)器使用實(shí)例
2022-07-27 18:26:26

【第6期】每周精選PCB設(shè)計(jì)資料匯總

——《Altium 4層MT7688主板高速PCB設(shè)計(jì)》視頻教程PADS9.5 零基礎(chǔ)快速入門PCB設(shè)計(jì)軟件實(shí)操及2層案例實(shí)戰(zhàn)教程Cadence allegro 軟件操作快速入門130講實(shí)戰(zhàn)視頻精選設(shè)計(jì)資料
2019-05-24 18:31:40

【第7期】每周精選四軸飛行器設(shè)計(jì)資料匯總

17 4層四翼飛行器PCB設(shè)計(jì)入門到精通課程精選設(shè)計(jì)資料:四軸飛行器DIY全教程合集(程序+原理圖+四軸PID)(免費(fèi)分享)最新整理匿名四軸上位機(jī)和飛控經(jīng)典代碼微型四軸飛行器原理圖_STM32遙控器
2019-06-14 15:09:59

為什么要使用Zedboard附帶的ISE Design Suite許可證?

我的Zedboard附帶了“ISE Design Suite:Design Edition Device Locked Edition Node-Locked”的許可證。我一直在使用Vivado
2019-10-14 07:00:16

使用Zedboard的USB接口如何查看數(shù)據(jù)?

我想知道如何將ZedBoard中的數(shù)字?jǐn)?shù)據(jù)發(fā)送到USB端口,在這種情況下需要哪個(gè)IP核,在計(jì)算機(jī)端,如何查看數(shù)據(jù)?我是否需要編寫任何軟件或Vivado提供什么?
2020-08-12 09:09:49

使用SD卡在ZEDboard上啟動(dòng)怎么實(shí)現(xiàn)?

你好,我使用Ubuntu 14.4 lts并且我安裝了vivado和petalinux(V2015.4),所以當(dāng)我想使用SD卡在ZEDboard上啟動(dòng)時(shí),我嘗試做我的第一個(gè)項(xiàng)目,所以當(dāng)我嘗試下面
2020-05-25 09:17:33

哪里可以找到像zedboard這樣的參考設(shè)計(jì)?

=ZYBO但我在網(wǎng)站上找不到參考設(shè)計(jì)。只有約束文件和硬件定義文件。我在哪里可以找到像zedboard這樣的參考設(shè)計(jì)。比如linux發(fā)行版,vivado項(xiàng)目,sdk項(xiàng)目......謝謝你的幫助!
2019-09-17 10:53:28

如何入門STM32環(huán)境配置、工程創(chuàng)建與工程移植

如何入門STM32環(huán)境配置、工程創(chuàng)建與工程移植
2021-10-13 07:47:45

如何使用vivadozedboard上進(jìn)行VITA傳遞

你好,我正在使用vivado 2013.3我試圖在zedboard上進(jìn)行VITA傳遞,但是當(dāng)我生成一個(gè)比特流時(shí),我已經(jīng)在這條消息的末尾列出了問題(我有一個(gè)視頻評(píng)估許可證)和圖像處理)錯(cuò)誤信息:信息
2020-05-20 12:50:42

如何將Zedboard連接到Vivado?

嗨,我是Vivado環(huán)境的新手,我正在嘗試通過Zedboard進(jìn)行連接,以便我可以對(duì)其進(jìn)行編程。我目前正在關(guān)注Hello World教程。我還引用了用于配置電路板的Zedboard Basic
2020-08-14 09:17:26

如何讓vivado識(shí)別我新安裝的許可證?

我已經(jīng)為我的新ZC706評(píng)估套件下載并安裝了節(jié)點(diǎn)鎖定許可證。一切都在許可證管理器中正確顯示。但是當(dāng)我嘗試在vivado 2016.2內(nèi)的新項(xiàng)目中選擇電路板時(shí),只有Zedboard,AC701
2018-12-19 11:08:38

怎么在Vivado HLS中生成IP核?

和生成比特流以對(duì)FPGA進(jìn)行編程4 - 將比特流導(dǎo)入并阻塞到SDK中,基于此生成板級(jí)支持包(BSP)并與Zedboard連接。順便說一下,SDK的目標(biāo)是成為我在Vivado HLS中的測(cè)試平臺(tái)嗎?即在計(jì)算機(jī)中觀察Zedboard產(chǎn)生的結(jié)果是否為例外情況。
2020-03-24 08:37:03

怎么將SRAM與Zedboard連接?

大家好,我需要將8位SRAM(例如,http://www.issi.com/WW/pdf/61LV5128AL.pdf)連接到我的Zedboard。我想我可以制作PCB,將SRAM焊接到其上并
2020-03-20 08:29:27

無法在Vivado中更改和添加新實(shí)例怎么辦

在“ten_gig_eth_pcs_pma_block”模塊中創(chuàng)建2個(gè)實(shí)例?,F(xiàn)在,我已將2個(gè)實(shí)例命名為“ten_gig_eth_pcs_pma_gt_i0 andten_gig_eth_pcs_pma_gt_i1”。但Vivado給了我一個(gè)錯(cuò)誤
2020-05-20 06:11:13

淺談STM32can實(shí)例+代碼

STM 32 can 實(shí)例+代碼解析
2021-08-20 06:39:18

玩轉(zhuǎn)VivadoSimulation

玩轉(zhuǎn)VivadoSimulation特權(quán)同學(xué),版權(quán)所有 1. 可用于Testbench分類管理的Simulation Sets關(guān)于Simulation的文件管理,ISE和Vivado的視窗大同小異
2016-01-13 12:04:16

相見恨晚!學(xué)習(xí)射頻和天線有這套資料就夠了!(1.3G+工程師精選資料)

設(shè)計(jì)與模型建庫,設(shè)計(jì)仿真,小編給大家精選了一份天線工程師必學(xué)入門進(jìn)階資料包希望大家能夠?qū)W好天線設(shè)計(jì)!精選天線工程師必備基礎(chǔ)知識(shí)+原理+工程設(shè)計(jì)電子書(6本)HFSS天線設(shè)計(jì)經(jīng)典培訓(xùn)教程+高級(jí)實(shí)例教程(35份
2020-04-27 15:10:36

請(qǐng)問為什么vivado報(bào)告資源不足?

和ffs利用率統(tǒng)計(jì):bellow是vivado錯(cuò)誤報(bào)告:[放置30-487]無法遵守實(shí)例到設(shè)備中的包裝。請(qǐng)分析您的設(shè)計(jì),以確定是否可以減少LUT,F(xiàn)F和/或控制組的數(shù)量。實(shí)例數(shù):觸發(fā)器:在考慮的設(shè)計(jì)區(qū)域
2020-08-14 07:56:43

請(qǐng)問如何在Vivado項(xiàng)目中實(shí)例化hdl系統(tǒng)生成器輸出的多個(gè)實(shí)例

嗨,如何在Vivado項(xiàng)目中實(shí)例化hdl系統(tǒng)生成器輸出的多個(gè)實(shí)例?在vivado項(xiàng)目中很容易實(shí)例化一個(gè)hdl系統(tǒng)生成器輸出。當(dāng)我想將另一個(gè)實(shí)例實(shí)例化到同一個(gè)項(xiàng)目時(shí),我在合成狀態(tài)下面臨一些錯(cuò)誤。最好的祝福
2020-07-31 10:38:59

請(qǐng)問有什么幫助新人盡快入門的動(dòng)手練習(xí)的實(shí)例

{:soso_e132:}請(qǐng)問有什么幫助新人盡快入門的動(dòng)手練習(xí)的實(shí)例(附有答案),謝謝~
2012-07-25 16:26:13

電力電子電路精選—常用元器件·實(shí)用電路·設(shè)計(jì)實(shí)例

電力電子電路精選 ——常用元器件·實(shí)用電電力電子電路精選 ——常用元器件·實(shí)用電下載介紹:電力電子電路精選 ——常用元器件·實(shí)用電路·設(shè)計(jì)實(shí)例
2006-03-15 09:21:050

新型電子電路應(yīng)用實(shí)例精選

新型電子電路應(yīng)用實(shí)例精選新型電子電路應(yīng)用實(shí)例精選下載介紹:新型電子電路應(yīng)用實(shí)例精選 東西是非常不錯(cuò)的,可供參考.
2006-03-15 09:39:040

Photoshop實(shí)例精選

Photoshop實(shí)例精選:收集了一些經(jīng)典的實(shí)例,供學(xué)習(xí)參考。
2008-12-08 10:13:330

新型電子電路應(yīng)用實(shí)例精選

《新型電子電路應(yīng)用實(shí)例精選精選了國內(nèi)外刊物上及編者多年實(shí)踐設(shè)計(jì)的電子電路500多例?!缎滦碗娮与娐窇?yīng)用實(shí)例精選實(shí)例精選包括放大器電路、轉(zhuǎn)換電路、信號(hào)發(fā)生電路、波形
2011-08-03 16:55:550

51單片機(jī)開發(fā)入門與典型實(shí)例_第二版(王守中)

51單片機(jī)開發(fā)入門與典型實(shí)例(王守中)51單片機(jī)開發(fā)入門與典型實(shí)例(王守中)
2015-11-11 10:32:480

ZEDBoard官方資料合集

ZEDBoard官方資料合集,包括用戶手冊(cè)、電路原理圖
2016-01-20 15:53:21336

西門子S7-200應(yīng)用實(shí)例(入門經(jīng)典)

西門子S7-200應(yīng)用實(shí)例(入門經(jīng)典)。
2016-03-22 14:14:11130

Verilog 入門實(shí)例代碼

Verilog 入門實(shí)例代碼,有需要的下來看看
2016-05-24 10:03:0519

ZYBO入門指導(dǎo)手冊(cè)(一)v1.0——Vivado

ZYBO入門指導(dǎo)手冊(cè)(一)v1.0——Vivado
2016-09-27 17:02:2521

新型電子電路應(yīng)用實(shí)例精選【PDF】(共兩個(gè)分包).part2

新型電子電路應(yīng)用實(shí)例精選【PDF】(共兩個(gè)分包).part2
2017-01-17 19:47:0450

新型電子電路應(yīng)用實(shí)例精選【PDF】(共兩個(gè)分包).part1

新型電子電路應(yīng)用實(shí)例精選【PDF】(共兩個(gè)分包).part1
2017-01-17 19:47:0453

Vivado+Zedboard之Linux開發(fā)環(huán)境搭建

很久沒有更新vivado+zedboard系列的博客了。前面的十篇博客主要介紹了Xilinx vivado工具的使用流程,vivado+zedboard裸機(jī)開發(fā)的方法以及部分Xilinx官網(wǎng)的實(shí)例
2017-02-08 16:20:111058

Vivado設(shè)計(jì)套件的快速入門視頻輔導(dǎo)資料

Vivado?? 設(shè)計(jì)套件快速入門視頻輔導(dǎo)資料為您提高生產(chǎn)力提供了實(shí)時(shí)的特定功能和流程培訓(xùn)。新主題包括: . ?? 使用 ?System Generator for DSP? 和 ?IP
2017-02-09 02:22:12256

Vivado獲取License的步驟教程

無論此刻你是一個(gè)需要安裝Xilinx Vivado工具鏈的入門菜鳥,還是已有l(wèi)icense過期的Vivado老鐵,今兒咱就借著這篇文章,把學(xué)習(xí)「Vivado如何獲取License」這檔子事兒給說通透咯~ 手把手教程,分三部分講述。
2018-07-03 09:54:0058889

開關(guān)電源設(shè)計(jì)入門實(shí)例解析

開關(guān)電源設(shè)計(jì)入門實(shí)例解析
2017-09-09 09:53:04146

新型電子放大器電路應(yīng)用實(shí)例精選

新型電子放大器電路應(yīng)用實(shí)例精選
2017-09-19 10:40:1115

單片機(jī)實(shí)例100入門實(shí)例知識(shí)

單片機(jī)實(shí)例100入門實(shí)例知識(shí)
2017-09-21 08:32:4736

MSP430的TimerA練習(xí)實(shí)例[入門必學(xué)

MSP430的TimerA練習(xí)實(shí)例[入門必學(xué)
2017-10-12 10:22:441

MSP430的TimerA練習(xí)實(shí)例[入門必學(xué)

MSP430的TimerA練習(xí)實(shí)例[入門必學(xué)
2017-10-12 10:22:446

基于ZedBoard的SCA架構(gòu)的設(shè)計(jì)原理

ZedBoard是Xilinx公司首款融合了ARM Cortex A9雙核和7系列FPGA的全可編程片上系統(tǒng),兼具ARM和FPGA兩者的優(yōu)勢(shì),是小型化SCA實(shí)現(xiàn)的最佳嵌入式平臺(tái)之一。本文介紹
2017-11-17 07:19:145298

Zedboard物料清單第2版免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是Zedboard物料清單第2版免費(fèi)下載
2019-02-12 17:20:360

C語言的入門學(xué)習(xí)50個(gè)實(shí)例設(shè)計(jì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是C語言的入門學(xué)習(xí)50個(gè)實(shí)例設(shè)計(jì)資料說明。
2020-09-17 08:00:002

Python的入門經(jīng)典實(shí)例免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是Python的入門經(jīng)典實(shí)例免費(fèi)下載。
2021-01-18 16:47:1637

Xilinx_Vivado_zynq7000入門筆記

Xilinx_Vivado_zynq7000入門筆記說明。
2021-04-08 11:48:0270

開關(guān)電源典型設(shè)計(jì)實(shí)例精選

開關(guān)電源典型設(shè)計(jì)實(shí)例精選(深圳市核達(dá)中遠(yuǎn)通電源技術(shù)有限公司簡介)-開關(guān)電源典型設(shè)計(jì)實(shí)例精選
2021-09-29 16:16:56164

ARM開發(fā)環(huán)境入門—STM32實(shí)例1

ARM開發(fā)環(huán)境入門—STM32實(shí)例1
2021-11-21 17:51:0318

零點(diǎn)起步之AVR單片機(jī)開發(fā)入門與典型實(shí)例

零點(diǎn)起步之AVR單片機(jī)開發(fā)入門與典型實(shí)例
2022-02-08 14:07:330

零基礎(chǔ)LabVIEW入門實(shí)例教程免費(fèi)下載

零基礎(chǔ)LabVIEW入門實(shí)例教程免費(fèi)下載。
2022-04-12 14:58:320

Vivado 2021.1中的Kria KV260入門

電子發(fā)燒友網(wǎng)站提供《Vivado 2021.1中的Kria KV260入門.zip》資料免費(fèi)下載
2022-11-02 09:44:325

Vivado 2021.2中的TE0727入門

電子發(fā)燒友網(wǎng)站提供《Vivado 2021.2中的TE0727入門.zip》資料免費(fèi)下載
2023-02-09 09:45:020

已全部加載完成