電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發(fā)燒友網>接口/總線/驅動>基于ARINC429總線數(shù)據的仿真發(fā)送與采集系統(tǒng)設計

基于ARINC429總線數(shù)據的仿真發(fā)送與采集系統(tǒng)設計

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

基于FPGA的ARINC429總線接口卡設計

 ARINC429總線廣泛應用于商務運輸航空領域,如空中客車A310/A320、A330/A340飛機,波音公司727、737、747、757和767飛機,麥道公司MD-11飛機等。它采用異步雙極性歸零碼進行數(shù)據的編碼,并通
2012-04-12 11:42:134751

基于ARINC429總線數(shù)據仿真發(fā)送與采集系統(tǒng)的設計與實現(xiàn)

ARINC429總線是目前航空電子分各子系統(tǒng)之間最常用的通信總線之一,作為現(xiàn)代航空電子系統(tǒng)的"骨架",一旦該總線系統(tǒng)或掛接的機載電子設備發(fā)生故障時,整個數(shù)據傳輸就會出現(xiàn)異常。為了快速診斷及排查故障點,429總線數(shù)據仿真發(fā)送采集顯得尤為重要。
2018-12-06 07:36:005780

1553B/ARINC429/RS422通用總線仿真/測試系統(tǒng)及ICD數(shù)據庫管理工具

1553B總線ARINC429總線、RS422總線、A/D信號、IO信號、實時網數(shù)據仿真與測試。目前,國外1553B、ARINC429生產廠家一般只提供與ICD數(shù)據庫結合的總線測試系統(tǒng),用戶使用時將
2014-01-20 15:37:10

1553B\429\422便攜式多協(xié)議分析儀

的、分布式1553B/ARINC429/RS422通用總線仿真/測試系統(tǒng);◇ 包含標準化、規(guī)范化的1553B、ARINC429、RS422總線ICD數(shù)據庫管理系統(tǒng);◇ 通用總線測試系統(tǒng)及ICD數(shù)據庫管理系統(tǒng)
2014-02-18 09:21:06

ARINC 429 IP核通過Barco DO-254認證

Actel公司宣布Barco Silex專為Actel ProASIC3 FPGA而開發(fā)的BA511 ARINC 429 IP核已在多個安全關鍵性航空電子應用中通過DO-254認證。 配有
2019-07-26 07:14:05

ARINC 429總線協(xié)議

總線的電子設備均應遵守這個規(guī)范,這樣才能保證電子設備之間數(shù)據通訊的標準化,通用化。在429規(guī)范里規(guī)定了從所選定輸出接口發(fā)送信息的方法。二、參考資料ARINC 429標準協(xié)議規(guī)范:ARINC-429_Protocol_Tutorial三、總線連接方式四、總線電平特征編碼方式:ARINC總線傳輸?shù)氖请p極
2021-07-23 09:57:44

arinc429

大家誰研究過arinc429,有大神能出來賜教下嗎,希望我們可以互相學習
2012-11-12 22:38:54

HDO4K-ARINC429BUSDSYMBOLIC

ARINC429SYMBOLICDECODEOPTION
2023-03-22 20:46:14

HI-8686PQI HOLT品牌 HI-8685和HI-8686系列接收器應用于ARINC 429總線,將信號轉換

HI-8685和HI-8686是使用經過驗證的+5V模擬/數(shù)字CMOS技術將輸入ARINC 429信號連接到16位并行數(shù)據系統(tǒng)組件。這兩種產品都將數(shù)字邏輯和模擬線路接收器電路集成在一個設備中
2024-01-22 12:02:53

STM32f429串口的數(shù)據發(fā)送與接收是怎樣去完成的

STM32f429串口的寄存器都有哪些?STM32f429串口的數(shù)據發(fā)送與接收是怎樣去完成的?
2021-12-08 07:45:09

[原創(chuàng)]MIL-STD-1553B ARINC429 CAN等總線協(xié)議

MIL-STD-1553B ARINC429 CAN等總線協(xié)議下載http://www.1553b-arinc429.com/web/khfw1.asp
2010-05-04 23:53:59

便攜式嵌入式系統(tǒng)半實物測試平臺的技術要求及設備選型情況

描述、測試資源規(guī)劃、實時監(jiān)控設計、測試用例開發(fā)及管理、測試執(zhí)行、測試數(shù)據統(tǒng)計及分析、測試報告生成等功能;支持的I/O接口類型包括:RS232、RS422、RS485、CAN、TCP、UDP、AD、DA、DI、DO、1553B、ARINC429等,并可允許根據測試需求補充擴展;具有仿真建模手段,支
2021-12-21 06:59:24

發(fā)布一款便攜式嵌入式系統(tǒng)半實物仿真測試平臺ETest_PT

設備(CAN、TCP/UDP、RS232/422/485、AD/DA/DI/DO、ARINC429、1553B、1394B、FC等)。ETest_PT具有適用范圍廣、擴展性好、攜帶方便、配置靈活、操作
2023-03-21 14:07:28

可支持ARINC429航空總線協(xié)議的DEI1016

摘要:DEI1016是一種可支持ARINC429航空總線協(xié)議的串行接收、發(fā)送器件。文中介紹了DEI1016的主要功能和工作原理,給出了DEI1016的數(shù)據收發(fā)過程,同時重點介紹了DEI1016
2019-06-20 05:00:05

基于AEC429-PCI-22/S5總線接口卡的ARINC429總線數(shù)據仿真發(fā)送與采集系統(tǒng)

診斷及排查故障點,429總線數(shù)據仿真發(fā)送采集顯得尤為重要。本文提出一種基于AEC429-PCI-22/S5總線接口卡的ARINC429總線數(shù)據仿真發(fā)送與采集系統(tǒng),通過與機載電子設備點對點的數(shù)據收發(fā)
2019-05-29 05:00:04

基于PC/104設計與實現(xiàn)ARINC429接口模塊

)、貝爾直升機以及歐洲空中客車Air2bus(A310/A320和A330/A340)等機種。我國的慣導系統(tǒng)也以ARINC429為主要通信總線ARINC429數(shù)據字為32位,分為5段:標號(Label
2019-04-16 07:00:04

基于USB的ARINC429總線接口模塊設計

429數(shù)據發(fā)送給PC機。調制/解調電路主要負責將FPGA輸出的LVTTL電平調制為滿足ARINC429總線電氣特性的電平(即高電平為+10V,低電平為-10V,0V為自身時鐘脈沖),并將輸入
2008-10-14 20:50:02

多通道ARINC429總線數(shù)據接收和發(fā)送的實現(xiàn)

  ARINC429總線接口板的研制,實現(xiàn)多通道ARINC429總線數(shù)據的接收和發(fā)送,成為目前對飛機機載總線接口研究的重點?! ? ARINC429總線簡介  在現(xiàn)代民用飛機上,系統(tǒng)系統(tǒng)之間、系統(tǒng)
2019-06-13 05:00:06

如何設計便攜式ARINC429總線通信設備?

在航空電子綜合化系統(tǒng)中,快速、有效的數(shù)據傳輸對整個航空電子系統(tǒng)的性能有很大影響,因此數(shù)據總線被稱為現(xiàn)代航空電子系統(tǒng)的“骨架”。ARINC429是航空電子系統(tǒng)之間最常用的通信總線之一。
2019-08-13 06:57:15

實時協(xié)議轉換系統(tǒng)怎么設計?

不同總線標準的數(shù)據;二是不同總線標準之間的協(xié)議轉換。因此如何實現(xiàn)地面檢測設備與多種不同總線標準機載設備之間的通信以及不同總線標準之間的協(xié)議轉換成為必須解決的問題。本文針對某型飛機加掛某型導彈的實際應用,設計了一個基于μC/OS-Ⅱ的1553B和ARINC429總線實時協(xié)議轉換系統(tǒng)
2020-03-16 06:25:16

怎么實現(xiàn)基于USB總線的便攜式ARINC429總線通信設備的設計?

本文設計了基于USB總線的便攜式ARINC429總線通信設備,并通過實際運行測試,對該設備的可靠性和穩(wěn)定性進行了驗證。
2021-05-31 06:08:29

怎么設計便攜式ARINC429總線通信設備?

在航空電子綜合化系統(tǒng)中,快速、有效的數(shù)據傳輸對整個航空電子系統(tǒng)的性能有很大影響,因此數(shù)據總線被稱為現(xiàn)代航空電子系統(tǒng)的“骨架”。ARINC429是航空電子系統(tǒng)之間最常用的通信總線之一。要在計算機上實現(xiàn)與機載設備的ARINC429總線數(shù)據通信,必須實現(xiàn)429總線與計算機總線之間的數(shù)據傳輸。
2019-08-19 07:24:53

怎樣去設計ARINC429總線接口板?有哪些步驟?

怎樣去設計ARINC429總線接口板的硬件?有哪些步驟?怎樣去設計ARINC429總線接口板的軟件?有哪些步驟?
2021-05-27 06:37:51

請問怎樣去設計ARINC429總線接口模塊?

求一種基于USB總線ARINC429總線接口模塊的設計方案。
2021-04-30 07:01:51

通用總線仿真測試系統(tǒng)

科技有限公司開發(fā)的最新型仿真/測試系統(tǒng)已經將A/D、IO、實時網等數(shù)據的的仿真與測試結合到通用總線仿真/測試系統(tǒng)中,即:最新型仿真測試系統(tǒng)已經能夠完成1553B總線、ARINC429總線、RS422總線、A/D信號、IO信號、實時網數(shù)據仿真與測試。
2019-12-02 16:51:25

采用FPGA實現(xiàn)PC/104的ARINC429總線接口模塊

若按照ARINC429最高發(fā)送速率100Kb/s計算,每一位數(shù)據需要10us,將FIFO中數(shù)據全部發(fā)送出去的時間為(32+4)×8×10=2.88ms。這樣,每發(fā)送一組數(shù)據,主程序要等待2.88ms
2019-07-12 08:02:24

采用NIOS II實現(xiàn)ARINC429總線接口板設計

  系統(tǒng)上電后應該先初始化HS3282。外部工作時鐘為1MHz,內部接收和發(fā)送速率可設置為外部時鐘的1/10或1/80,即100 kb/s或12.5 kb/s。設置ARINC429數(shù)據字格式為32位或者
2019-04-29 07:00:06

采用專用接口電路PCI9054實現(xiàn)ARINC429總線接口板設計

核處理器NIOSⅡ作為系統(tǒng)的主控制器,結合ARINC429專用器件和其他外圍設備實現(xiàn)數(shù)據的收發(fā)功能。  ARI NC429接口板由數(shù)據收發(fā)、存儲器擴展、監(jiān)控、PCI總線接口等模塊組成。NIOSⅡ控制
2019-04-26 07:00:08

西安凱銳測控2收2發(fā)ARINC429總線通訊板卡

產品描述CHR32XXXEX/CHR32XXX是一款包含多種通道配置的ARINC429通訊板卡,其功能能夠滿足用戶的通訊測試需求,良好的兼容性適用于各類系統(tǒng)配置。產品特點* 2通道發(fā)送, 2通道接收
2022-05-26 13:59:55

航空總線接口通信系統(tǒng)的設計與實現(xiàn)

采用現(xiàn)場可編程門列陣設計ARINC429, MIL-STD-1553B 航空總線接口通信系統(tǒng),實現(xiàn)總線設備之間的互聯(lián)。介紹該系統(tǒng)的硬件結構、總線協(xié)議的模塊劃分及其內部結構。在QuartusII 中利用VHD
2009-03-30 10:16:5418

基于DSP的ARINC429通信板設計

ARINC429 是航空電子設備之間數(shù)據傳輸?shù)暮娇展I(yè)標準,文中介紹了ISA 總線接口的基于DSP 的ARINC429 標準通信擴展板的硬件組成原理及軟件設計。該擴展板以雙端口RAM 作為數(shù)據交換的
2009-07-08 14:33:1923

航空用ARINC429總線收發(fā)系統(tǒng)設計與實現(xiàn)

針對機載設備地面檢測中ARINC429總線數(shù)據通信這一關鍵技術, 設計了一種基于十六位單片機80C196的ARINC429總線收發(fā)系統(tǒng);設計中嚴格依據ARINC429數(shù)字信息傳輸規(guī)范,采用通用ARINC429
2009-07-16 09:48:3380

基于AVR單片機的429總線測試儀的設計

本文采用常用的MAX232 等芯片設計了多速率429 總線數(shù)據發(fā)送模塊。在此基礎上,以AVR 單片機ATmega128 為核心,研制了一種手持式多速率429 總線測試儀。該測試儀具有功耗低,體積
2009-08-15 14:56:009

ARINC 429信號處理板的設計

機載數(shù)據總線ARINC 429 在當代的運輸機和相當數(shù)量的民用客機中有著廣泛的應用。目前國內的專用ARINC 429 信號處理芯片一般路數(shù)有限,要實現(xiàn)多通道的信息處理,就需要多塊類似
2009-08-25 11:48:249

基于80C196KC 的ARINC429總線接口板設計

          基于Intel16 位單片機80C196KC 的ARINC429 總線接口板包括接收/發(fā)送、外擴FlashRAM、顯示接口等模塊。協(xié)議芯片HS3282 和HS3182 可以方便的完成數(shù)
2009-09-10 10:50:2226

SOPC技術在多總線融合測試系統(tǒng)中的應用

總線融合測試系統(tǒng)基于LXI 總線,兼容PXI、VXI、GPIB、1553B、ARINC429,RS422、RS485總線。實現(xiàn)多總線融合的測試系統(tǒng)必須解決LXI 總線到其它總線的協(xié)議轉換。本文采用SOPC 技術在一塊FPGA
2009-11-30 15:39:0911

合創(chuàng)達微HI-6010CT--- ARINC 429發(fā)送/接收8位總線

范圍       HI-6010是一種CMOS集成電路,設計用于將航空電子數(shù)據總線標準ARINC 429連接到8位端口。它包
2023-08-03 15:34:11

合創(chuàng)達微HI-3210PQIF---ARINC 429數(shù)據管理引擎/八進制接收機/四路發(fā)射機

優(yōu)勢和特點:8個arinc429接收通道四個arinc429發(fā)射頻道32KB片上用戶可配置的數(shù)據存儲內存可編程接收數(shù)據過濾的ARINC 429總線用于周期性ARINC 429消息廣播的可編程傳輸調度
2023-08-04 15:23:44

合創(chuàng)達微HI-8444PSI---Quad arinc429線路接收器

優(yōu)勢和特點:四個arinc429線路接收器3.3V或5.0V單電源操作測試輸入繞過模擬輸入并強制數(shù)字輸出到ZERO, ONE或NULL狀態(tài)(僅限HI-8444)低功耗CMOS技術CMOS/TTL兼容
2023-08-07 15:06:44

合創(chuàng)達微HI-8597PSIF---3.3V單軌ARINC 429差分線路驅動器,集成DO-160G 3級防雷

arinc429電壓水平arinc429輸出極好的短路能力(±50V 1秒)數(shù)字選擇上升和下降時間三態(tài)輸出37.5歐姆輸出電阻允許直接連接到arinc429總線內置8000v
2023-08-07 15:08:12

ARINC429接口板的嵌入式實時軟件設計

摘要:采用單片機C語言作為編程語言,介紹一種基于DEI1016的四發(fā)八收智能型ARINC429接rl板的嵌人式實時軟件設計方法;首先,簡單地介紹了單片機C語言和開發(fā)流程,再詳細地描述了軟
2010-07-16 11:00:4214

HI-8588PSI-10 一款ARINC429總線接口接收器

描述HI-8588是一款ARINC 429總線接口接收器,采用SO 8引腳封裝。該技術是模擬/數(shù)字CMOS。該電路只需要5伏電源。請參考HI-8588-10,用于需要與ARINC輸入串聯(lián)的外部電阻
2024-01-04 11:54:35

HI-8445PSIF-10 一款四通道ARINC429線路接收器ic

描述HI-8444/HI-8445是一款四通道ARINC 429線路接收器ic,采用20引腳小型塑料TSSOP封裝。每個獨立的接收器通道將輸入的ARINC 429數(shù)據總線信號轉換為相關的一對CMOS
2024-01-11 11:09:27

基于DSP/BIOS的ARINC429總線接口設計

DEll016是一種可支持ARINCA-29總線協(xié)議的串行接收、發(fā)送器件。介紹了一種基于DEll016的ARINCA29通信接口的設計方法,設計了一種基于DSP處理器的429總線轉換接口電路,并給出了DEll01
2010-11-10 11:58:4929

基于NIOSⅡ的ARINC429總線接口板設計

可編程片上系統(tǒng)(SoPC)技術是當前嵌入式系統(tǒng)開發(fā)的一個熱點,基于SoPC技術的嵌入式處理器已經廣泛應用于各個領域。介紹一種用于PCI總線微機系統(tǒng)ARINC429總線接口板,以嵌入式
2010-12-07 14:16:0631

AT89C52單片機與ARINC429航空總線接口設計

 摘要:DEI1016是一種可支持ARINC429航空總線協(xié)議的串行接收、發(fā)送器件。文中介紹了DEI1016的主要功能和工作原理,給出了DEI1016的數(shù)據收發(fā)過程,同時重點介紹了DEI1016與AT
2006-03-11 11:46:281584

FPGA的ARINC429總線接口卡原理設計

      ARINC429總線廣泛應用于商務運輸航空領域,如空中客車A310/A320、A
2008-11-25 14:19:272457

基于USB的ARINC429總線接口模塊設計

 ARINC429總線由美國航天無線電設備公司所資助,是廣泛應用于當前航空電子設備中的一種數(shù)據總線傳輸標準。與傳統(tǒng)的航空電子設備間的模擬傳輸相比,ARINC429總線具有抗干擾能力
2009-04-22 20:02:562658

基于HI-8582的ARINC429總線設計

基于HI-8582的ARINC429總線設計 要:簡要介紹了ARINC429總線和HI-8582芯片的特點,給出了基于HI-8582的ARINC429總線設計思路和方法。最后結合工程實際給出了單片機AT89C52的數(shù)據
2009-11-05 11:05:281889

USB的便攜式ARINC429總線通信設備技術

USB的便攜式ARINC429總線通信設備技術 ARINC429是航空電子系統(tǒng)之間最常用的通信總線之一。要在計算機上實現(xiàn)與機載設備的ARINC429總線數(shù)據通信,必須
2010-05-06 10:56:142300

基于MSP430單片機實現(xiàn)ARINC429總線通訊的硬件設計

ARINC429航空通訊總線是一種常用的慣導系統(tǒng)通訊總線。由于該總線的功能相對獨立,航空電子系統(tǒng)逐步走向綜合,各子系統(tǒng)之間的數(shù)據通訊變得更加頻繁。因此,研制一種基于ARINC429
2010-06-14 06:48:201287

USB接口ARINC429測試卡手冊

本內容提供了USB接口ARINC429測試卡手冊 本手冊主要提供了四個章節(jié),分別概述、硬件使用說明、驅動接口說明和應用程序說明
2011-04-15 18:11:4144

什么是ARINC429總線

ARINC429總線協(xié)議是美國航空電子工程委員會(Airlines Engineering Committee)于1977年7月提出的,并于同年發(fā)表并獲得批準使用。它的全稱是數(shù)字式信息傳輸系統(tǒng)DITS。協(xié)議標準規(guī)定了航空電子設備及有關
2011-04-19 16:20:3012114

PCI總線ARINC429通信卡實現(xiàn)方法

ARINC429 總線是一種重要的航空數(shù)據總線標準,是飛機航電系統(tǒng)數(shù)字信息傳遞的主要途徑之一。本文給出一種PCI 總線ARINC429 通信卡的實現(xiàn)方法,利用FPGA 實現(xiàn)了ARINC429 協(xié)議的編解碼,測試表明
2011-05-18 18:08:3337

ARINC429航空總線協(xié)議標準

This document provides an overview of ARINC 429 and other ARINCprotocols. ARINC 429 is the most
2011-08-23 14:08:41219

基于μC/OS-Ⅱ的1553B和ARINC429總線實時協(xié)議轉換系統(tǒng)的設計

近年來,ГOCT18977、1553B和ARINC429已成為我軍機載設備間、飛機與導彈間數(shù)據通信所廣泛采用的總線標準。本文針對某型飛機加掛某型導彈的實際應用,設計了一個基于μC/OS-Ⅱ的1553B和ARINC4
2011-09-15 10:10:181725

一種PCI總線ARINC429通信卡實現(xiàn)方法

ARINC429 總線是一種重要的航空數(shù)據總線標準,是飛機航電系統(tǒng)數(shù)字信息傳遞的主要途徑之一。本文給出一種PCI 總線ARINC429 通信卡的實現(xiàn)方法,利用FPGA 實現(xiàn)了ARINC429 協(xié)議的編解碼,測試表明
2011-09-30 16:28:3743

DSP+FPGA的機載總線接口板研究

ARINC429總線接口板的研制,實現(xiàn)多通道 ARINC429總線 數(shù)據的接收和發(fā)送,成為目前對飛機機載總線接口研究的重點。 1 ARINC429總線簡介 在現(xiàn)代民用飛機上,系統(tǒng)系統(tǒng)之間、系統(tǒng)與部件之
2011-10-05 16:07:151955

8位單片機實現(xiàn)ARINC429總線通信的方法

總線技術是航空電子綜合化系統(tǒng)中的關鍵技術之一,有效、便捷、可靠的數(shù)據傳輸是保證設備之間資源共享和信息融合的重要前提..本文對ARINC429總線進行了概要描述,并從軟硬件兩
2011-12-01 15:31:0089

基于PCI總線ARINC429接口卡設計

利用PCI專用接口芯片PCI9052和DEI1016 429總線收發(fā)芯片設計了ARINC429接口卡,采用DSP作為主控CPU完成數(shù)據自動處理,用雙口RAM完成DSP與PCI總線數(shù)據交換。實驗表明:所設計的接口卡傳輸效率高
2012-05-09 16:14:2580

基于DSP與FPGA的ARINC429總線接口卡設計

設計了一種基于DSP和FPGA的ARINC429總線接口卡。該設計使用PLX公司的PCI9052和HARRIS公司的HS3282作為專用協(xié)議芯片,TI公司的TMS320F2812作為嵌入式CPU,Altera公司的FPGA芯片EP1C12來進行邏輯控制、
2013-03-12 15:09:4262

基于PCMCIA 的ARINC429 通信卡設計

基于PCMCIA 的ARINC429 通信卡設計,這是一個硬件模塊的設計方案。
2016-03-15 11:35:1133

ARINC-429數(shù)據總線介紹

ARINC-429總線構成的數(shù)傳系統(tǒng)可以說是一個單信息源、多接收器的數(shù)據傳輸系統(tǒng)。
2016-12-09 13:04:040

實現(xiàn)ARINC 429數(shù)字信息傳輸?shù)姆桨冈O計

實現(xiàn)ARINC 429數(shù)字信息傳輸?shù)姆桨冈O計
2017-01-14 14:42:2514

基于PCI總線接口卡的ARINC429總線數(shù)據仿真采集系統(tǒng)

基于PCI總線接口卡的ARINC429總線數(shù)據仿真采集系統(tǒng)
2017-01-14 11:24:3913

MIL-STD-1553B入門教程_1553B總線資料課件201

MIL-STD-1553B入門教程_1553B總線資料課件 更多詳情可以來電咨詢。 專業(yè)的1553B arinc429
2017-10-14 09:24:561

實例分析1553B和ARINC429總線實時協(xié)議轉換系統(tǒng)

近年來,ГOCT18977、1553B和ARINC429已成為我軍機載設備間、飛機與導彈間數(shù)據通信所廣泛采用的總線標準。這種多種總線標準并存的情況帶來一系列問題:一是在地面維護過程中,需要測試
2017-10-27 13:20:1814

PCI總線ARINC429接口卡設計

PCI總線ARINC429接口卡設計
2017-10-31 10:13:0125

完整的ARINC429 協(xié)議和422協(xié)議的FPGA 硬件調試簡介并完成多路機載總線收發(fā)器設計與實現(xiàn)

仿真所不能發(fā)現(xiàn)的問題并分析問題解決問題,從而完成多路機載總線收發(fā)器的設計與實現(xiàn)。 在航空電子綜合化系統(tǒng)中,快速、有效的數(shù)據傳輸對整個航空電子系統(tǒng)的性能有很大的影響,因此數(shù)據總線被稱為現(xiàn)代航空電子系統(tǒng)的骨架,利用FPGA 技術設計集成多路ARINC429[1] 和422[4] 通道的通信芯片.
2017-11-18 08:56:0117286

怎樣設計一種基于NIOSⅡ的ARINC429總線接口板?

。對設計進行綜合,下載到FPGA中就可以設計一個具有特定功能的嵌入式處理器。本設計采用Altera公司的FPGA—EP2C35,引人嵌入式軟核處理器NIOSⅡ作為系統(tǒng)的主控制器,結合ARINC429專用器件和其他外圍設備實現(xiàn)數(shù)據的收發(fā)功能
2018-07-17 15:56:00774

ARINC429實時監(jiān)控卡軟件設計與開發(fā)

CAN(Controller Area Network,控制器局域網絡)總線ARINC429總線是兩種在航空通信等領域應用廣泛的總線,CAN總線的優(yōu)點在于其可靠、靈活,而ARINC429總線
2018-04-09 10:12:473

航電總線仿真實驗和測試方案

總線仿真與測試系統(tǒng)能夠對計算機通道的所有ARINC429、MIL-STD-1553、AFDX總線信號、離散信號、模擬信號等進行接收、監(jiān)控、實時記錄和數(shù)據回放,通過直觀的數(shù)據監(jiān)控,對各種信號具有從原碼到物理意義的解析功能,能快速有效的進行系統(tǒng)試驗、操作記錄、故障定位和狀態(tài)監(jiān)視。
2018-04-23 09:48:012880

基于AEC429-PCI-22/S5接口卡的航空電子系統(tǒng)設計

ARINC429是一種廣泛應用于民用和軍用飛機的串行數(shù)據總線結構,是一種單向廣播式數(shù)據總線,其傳輸介質為屏蔽雙絞線,調制方式采用雙極歸零制的三態(tài)碼方式,具有很強的抗干擾能力。
2019-09-11 15:49:081666

基于ARINC429總線數(shù)據發(fā)送與接收采集系統(tǒng)設計

ARINC429是一種廣泛應用于民用和軍用飛機的串行數(shù)據總線結構,是一種單向廣播式數(shù)據總線,其傳輸介質為屏蔽雙絞線,調制方式采用雙極歸零制的三態(tài)碼方式,具有很強的抗干擾能力。
2019-09-19 09:04:233846

基于FPGA器件和PCI9052芯片實現(xiàn)ARINC429數(shù)據接口卡的設計

在現(xiàn)代軍、民用飛機和導彈上,系統(tǒng)間需要傳輸大量信息,隨著數(shù)字技術的發(fā)展和微型電子計算機的出現(xiàn),越來越多的航空電子設備已經采用航空數(shù)據總線進行通訊。其中ARINC429作為一種數(shù)字信息傳輸系統(tǒng)已成為航空電子系統(tǒng)通訊規(guī)定的航空工業(yè)標準。目前,ARINC429總線廣泛應用與軍事科技領域。
2020-03-20 09:29:112240

基于LabWindows/CVI開發(fā)環(huán)境實現(xiàn)ARINC429信號的仿真和測試

ARINC429總線是美國航空無線電公司(ARINC)制定的航空數(shù)字總線傳輸標準,定義了航空電子設備和系統(tǒng)之間相互通信的一種規(guī)范。隨著國內航空業(yè)的發(fā)展,ARINC429總線的應用日益廣泛,已推廣
2020-04-12 09:31:241927

ARINC429通訊模塊介紹 16發(fā)16收,接口、速率自定義

奧林普科技研制開發(fā)的ARINC429通訊模塊,通道數(shù)最多同時具有16個發(fā)送和16個接收通道。每個通道的發(fā)送/接收速率均可單獨設置,具有靈活的數(shù)據發(fā)送/接收方式,用戶可以根據具體需要進行設置。提供支持
2020-12-21 16:53:002131

DEI ARINC 429線路驅動器應用領域

DEI?ARINC 429是航空運輸行業(yè)在航空電子系統(tǒng)之間傳輸數(shù)字數(shù)據的標準。DEI公司的線路驅動器基于ARINC 429串行通信規(guī)范,設備工程公司開發(fā)了一條完整的線路驅動產品線,可以在ARINC
2021-11-10 16:43:40882

429線路接收器和接收器接口應用領域

DEI?ARINC429線路接收器將ARINC429離散RZ數(shù)據轉化為TTL/CMOS兼容輸出,每種受雷電保障的接收通道單獨運行,滿足DEI?ARINC429數(shù)字信息傳遞規(guī)范的任何標準。 應用領域
2021-11-12 16:49:04618

如何使用FPGA實現(xiàn)ARINC429接口和總線數(shù)據接收

FPGA完成ARINC429總線數(shù)據的接收。重點介紹接口電路設計和FPGA中的軟件開發(fā),與傳統(tǒng)的ARINC429總線數(shù)據接收系統(tǒng)相比,具有接口電路簡單、具備一定的抗干擾能力、不受協(xié)議芯片速率限制等優(yōu)點.此方法已成功應用于產品中。并對其他串行總線數(shù)據接收具有借鑒意義。
2021-02-03 15:53:0038

DEI ARINC 429線路接收器和接收器接口參數(shù)

DEIARINC 429是航空運輸行業(yè)領域在航空電子控制系統(tǒng)之間傳輸數(shù)據數(shù)字數(shù)據的標準。該標準定義電氣設備接口、標簽和地址劃分及其字格式敘述。 DEI ARINC 429線路接收器將DEI
2021-11-12 14:25:54867

基于LabViEW的429總線接收發(fā)送系統(tǒng)

基于LabViEW的429總線接收發(fā)送系統(tǒng)
2021-09-10 16:14:1926

通用總線仿真測試系統(tǒng)概述及功能特點

1553B/ARINC429/RS422通用總線仿真/測試系統(tǒng)是一個針對1553B、ARINC429、RS422總線系統(tǒng)的、基于以太網的、分布式綜合仿真/測試系統(tǒng),它采用標準化、規(guī)范化的設計,實現(xiàn)
2022-12-07 11:48:526761

OBT429芯片中文手冊

OBT429 是專門面向 ARINC429 總線系統(tǒng)應用而設計的高性能 429 總線接口控制器專用芯片,其 具有如下基本特征: 1) 支持完整的 Arinc429 通訊協(xié)議; 2) 支持
2022-06-08 14:44:1712

充分利用ARINC 429總線協(xié)議

  四十年前,ARINC 429總線協(xié)議正在發(fā)展壯大。它在 A310/320、A330/340、B737、B747、B757、B767 和 MD-11 上的存在意味著它將在未來很多年流行。即使是具有
2022-11-08 15:05:051514

HI-3220 CMOS高密度應用數(shù)據管理IC手冊

。八個接收選項并且四個發(fā)射信道也以緊湊的成本提供有效的7mm x 7mm QFN封裝面積。ARINC 429總線可以獨立運行-IC可以被編程為自動重新格式化、重新標記、重新打包并將數(shù)據ARINC 429接收總線重新傳輸?shù)?b class="flag-6" style="color: red">ARINC429傳輸總線?;蛘?,主機CPU可以發(fā)送和在多條總線上接收數(shù)據。32KB的
2023-06-15 15:14:352

雙通道MIL-STD-1553B總線通信及ARINC429總線通信板卡產品

ARINC429總線通信 雙通道接收和雙通道發(fā)送 支持波特率可設置為10K、12.5K、48K、50K和100K 串口波特率可設定,最高達2Mbps 串口可編程設定為RS2
2023-08-31 16:59:22579

基于PCI總線接口卡的ARINC429總線數(shù)據仿真采集系統(tǒng)

電子發(fā)燒友網站提供《基于PCI總線接口卡的ARINC429總線數(shù)據仿真采集系統(tǒng).pdf》資料免費下載
2023-10-24 11:26:180

TMS320F2812與ARINC429數(shù)據總線之間的通信設計

電子發(fā)燒友網站提供《TMS320F2812與ARINC429數(shù)據總線之間的通信設計.pdf》資料免費下載
2023-10-27 10:42:251

一種智能化ARINC429通訊卡設計與實現(xiàn)

電子發(fā)燒友網站提供《一種智能化ARINC429通訊卡設計與實現(xiàn).pdf》資料免費下載
2023-11-07 14:48:480

基于MCS-51單片機和DEI1016的ARINC429通信模塊設計

電子發(fā)燒友網站提供《基于MCS-51單片機和DEI1016的ARINC429通信模塊設計.pdf》資料免費下載
2023-11-07 15:01:300

HI-8588 ARINC 429線路接收器規(guī)格書

HI-8588是ARINC 429總線接口接收器提供SO 8引腳封裝。技術是模擬/數(shù)字CMOS。電路只需要5伏供給。ARINC總線可以直接連接到芯片。這個典型的10伏差分信號被轉換并輸入到窗口比較器和鎖存器。比較器級別為略低于標準6.5伏最小ARINC數(shù)據閾值,剛好高于標準的2.5伏最大值ARINC零閾值。
2023-11-16 16:41:240

已全部加載完成