電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式操作系統(tǒng)>在設(shè)計(jì)中用活創(chuàng)新哲學(xué)

在設(shè)計(jì)中用活創(chuàng)新哲學(xué)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

Linux文件“哲學(xué)”是否與Windows相同呢?

“一切皆是文件”是Unix/Linux的基本哲學(xué)之一,目錄、字符設(shè)備、塊設(shè)備、套接字等在Unix/Linux都是以文件的形式存在。面對眾多的文件,如何理解和管理他們的讀、寫、執(zhí)行權(quán)限呢?
2018-06-28 09:43:264172

電磁兼容三要素的哲學(xué)思辨

摘要:從電磁兼容發(fā)生、發(fā)展的歷史中解讀哲學(xué)思想對科學(xué)發(fā)現(xiàn)的指導(dǎo)作用,引進(jìn)的外來科學(xué)技術(shù)如果不能和本地的傳統(tǒng)哲學(xué)思想相結(jié) ??合,將成為無根之木、瓶中插花。大道同源,本文獨(dú)創(chuàng)性地把中國的傳統(tǒng)哲學(xué)
2023-03-14 09:59:241921

6748中用for循環(huán)為40000個(gè)數(shù)組賦值對DSP的cpu消耗是不是特別大

6748中用for循環(huán)為40000個(gè)數(shù)組賦值對DSP的cpu消耗是不是特別大
2020-05-22 12:36:33

CCS中用difine定義的數(shù),程序中使用時(shí)會(huì)保留多少精度呢?

畢業(yè)后玩的DSP都是匯編,自從VC33后就很少親自編程了。最近因?yàn)楣ぷ髟虿坏貌唤佑|CCS5.2,看了一些案例程序,突然意識到一個(gè)問題,就是CCS中用difine定義的數(shù),程序中使用時(shí)會(huì)保留
2020-08-11 06:25:08

PADS LAYOUT中用模板腳本導(dǎo)出漂亮的BOM表!

PADS LAYOUT中用模板腳本導(dǎo)出漂亮的BOM表!
2013-06-22 22:55:32

SYSTEM C中用verilog HDL和Firmaware編寫了RTL

CO_SIMULATION獲取所有信號。我SYSTEM C中用verilog HDL和Firmaware編寫了RTL。但我沒有得到任何適用于HW / FW協(xié)同仿真的文件。請幫我解決這個(gè)問題。謝謝
2020-04-17 10:09:54

quartus2中用原理圖進(jìn)行編譯,設(shè)計(jì)了一個(gè)匹配濾波器,如...

quartus2中用原理圖進(jìn)行編譯,設(shè)計(jì)了一個(gè)匹配濾波器,如何調(diào)用modlesim進(jìn)行仿真。
2014-03-26 21:34:43

ubuntu中用vscode編譯調(diào)試C\C++

3. 基于跨平臺多類型代碼編輯器VScode關(guān)于ubuntu中用vscode編譯調(diào)試C\C++Ubuntu安裝vscode圖形安裝,首先在虛擬機(jī)應(yīng)用商店找到vscode2.點(diǎn)擊“安裝”進(jìn)行安裝3.安裝成功關(guān)于ubuntu中用vscode編譯調(diào)試C\C++安裝vscode的C\C++插件...
2021-12-22 08:01:01

語音交互的過程中用戶語音的關(guān)鍵字可以獲取到嗎?

語音交互的過程中用戶語音的關(guān)鍵字可以獲取到嗎?
2023-03-10 08:50:35

AGI:走向通用人工智能的【生命學(xué)&哲學(xué)&科學(xué)】第一篇——生命、意識、五行、易經(jīng)、量子 精選資料分享

AGI:走向通用人工智能的【生命學(xué)&哲學(xué)&科學(xué)】第一篇——生命、意識、五行、易經(jīng)、量子經(jīng)典的物理統(tǒng)一原子上,量子的物理統(tǒng)一量子上,化學(xué)統(tǒng)一元素上,而生命統(tǒng)一DNA上,DNA
2021-07-26 06:57:51

ATMEGA128L中用什么代替GICR??

如題,ATMEGA128L中用什么代替GICR??求助!!
2016-07-17 10:23:52

AWorks的哲學(xué)思想

一、思維差異  蘋果之所以成為全球最賺錢的公司,關(guān)鍵在于產(chǎn)品的性能超越了用戶的預(yù)期,且因?yàn)榇罅靠芍赜玫暮诵念I(lǐng)域知識,綜合成本做到了極致。Yourdon和Constantine《結(jié)構(gòu)化設(shè)計(jì)》一書
2018-05-04 15:43:40

C8051F410單片機(jī)中用smaRTClock作為PCA的時(shí)鐘源時(shí),PCA什么時(shí)候進(jìn)行計(jì)數(shù)?

C8051F410單片機(jī)中用smaRTClock作為PCA的時(shí)鐘源時(shí),PCA什么時(shí)候進(jìn)行計(jì)數(shù)?就比如說用T0溢出作為PCA的時(shí)鐘源時(shí),當(dāng)T0溢出時(shí)PCA記一個(gè)數(shù)。
2015-10-27 08:04:12

DJI大疆創(chuàng)新推出首款農(nóng)業(yè)無人機(jī)

全球飛行影像系統(tǒng)的開拓者和領(lǐng)導(dǎo)者DJI大疆創(chuàng)新今日宣布推出一款智能農(nóng)業(yè)噴灑防治無人機(jī)——大疆MG-1農(nóng)業(yè)植保機(jī),標(biāo)志著大疆創(chuàng)新正式進(jìn)入農(nóng)業(yè)無人機(jī)領(lǐng)域。
2020-05-12 07:22:14

ELVIS平臺高校教學(xué)中的創(chuàng)新應(yīng)用,前景如何?

ELVIS平臺簡介ELVIS平臺高校教學(xué)中的創(chuàng)新應(yīng)用ELVIS平臺高校教學(xué)中的應(yīng)用前景
2021-04-23 07:01:37

Labview怎么子程序前面板中用按鈕退出子程序而不是直接X掉

Labview怎么子程序前面板中用按鈕退出子程序而不是直接X掉PCB打樣找華強(qiáng) http://www.hqpcb.com 樣板2天出貨
2013-01-20 22:33:20

MCU:變與不變的哲學(xué)

、如何差異化、如何未雨綢繆。 集成考驗(yàn)持續(xù)整合將從提升性能、降低功耗、縮短面世時(shí)間、降低成本等方面展開。ARM核已成主流的情況下,近年來MCU廠家也注重在MCU的外設(shè)上進(jìn)行不同的整合和創(chuàng)新,如此才能
2016-12-21 13:22:17

Multisim中的VDAC8proteus中用什么替代?

如題,好像是一個(gè)電壓DA轉(zhuǎn)換芯片,proteus中用什么替代?
2015-05-20 21:29:05

OpenHarmony創(chuàng)新賽 | 您有一份創(chuàng)新激勵(lì)獎(jiǎng)待領(lǐng)取 請查收!

2023開源和信息消費(fèi)大賽 開放原子開源大賽OpenHarmony創(chuàng)新賽 (以下簡稱“OpenHarmony創(chuàng)新賽”) 正如火如荼的進(jìn)行當(dāng)中 賽程也即將進(jìn)入到提交作品的關(guān)鍵階段 為了鼓勵(lì)更多參賽隊(duì)
2023-09-21 15:32:17

SPC560P循環(huán)中用浮點(diǎn)數(shù)編寫計(jì)算速度很慢是什么原因?

我正在使用 SPC560P,但遇到浮點(diǎn)數(shù)問題。一旦我循環(huán)中用浮點(diǎn)數(shù)編寫計(jì)算,循環(huán)就會(huì)變得非常慢(循環(huán)中簡單計(jì)算的微秒范圍)。這可能是什么原因?我是否必須激活或設(shè)置其他任何東西,如果是的話在哪里?請幫我
2022-12-05 06:55:58

TI高性能模擬器件大學(xué)生創(chuàng)新設(shè)計(jì)中的應(yīng)用及選型指南

TI高性能模擬器件大學(xué)生創(chuàng)新設(shè)計(jì)中的應(yīng)用及選型指南TI高性能模擬器件大學(xué)生創(chuàng)新設(shè)計(jì)中的應(yīng)用及選型指南TI高性能模擬器件大學(xué)生創(chuàng)新設(shè)計(jì)中的應(yīng)用及選型指南
2013-10-18 13:33:43

Unix哲學(xué)歸納

Douglas McIlroy是Unix系統(tǒng)上管道機(jī)制的發(fā)明者,也是Unix文化的締造者之一。他歸納的Unix哲學(xué)如下:程序應(yīng)該只關(guān)注一個(gè)目標(biāo),并盡可能把它做好。讓程序能夠互相協(xié)同工作。應(yīng)該讓程序處理文本數(shù)據(jù)流,因?yàn)檫@是一個(gè)通用的接口。
2019-07-10 07:05:49

top227y單片開關(guān)電源集成電路proteus中用什么替代

top227y單片開關(guān)電源集成電路proteus中用什么替代
2013-04-19 10:34:47

【DNA Kit開發(fā)板試用活動(dòng)】試用名單快遞單號

【DNA Kit開發(fā)板試用活動(dòng)】試用名單快遞單號論壇用戶名申通快遞單號
2015-10-15 15:32:48

【W(wǎng)RTnode2R開發(fā)板試用活動(dòng)】試用名單快遞單號

【W(wǎng)RTnode2R開發(fā)板試用活動(dòng)】試用名單快遞單號論壇用戶名韻達(dá)
2015-10-21 11:01:32

【mBot機(jī)器人套件試用活動(dòng)】試用名單快遞單號

【mBot機(jī)器人套件試用活動(dòng)】試用名單快遞單號論壇用戶名韻達(dá)快遞12.1寄
2015-12-01 11:24:17

【免費(fèi)試用02期】Embedded Pi 開發(fā)板試用活動(dòng)

推出每周一期開發(fā)板免費(fèi)試用活動(dòng)。第二期試用的板子為 Embedded Pi 開發(fā)板 :Embedded Pi是融合Raspberry Pi,ArduinoTM和32位嵌入式ARM三大社區(qū)的三合一平臺
2022-07-05 10:48:21

【名單已公布】【RISC-V專題】兆易創(chuàng)新GD32VF103R-START開發(fā)板免費(fèi)試用

! 兆易創(chuàng)新作為此次活動(dòng)的合作廠商,同步上線GD32VF103R-START開發(fā)板評測試用活動(dòng)。 GD32VF103R-START開發(fā)板GD32VF103系列是全球首個(gè)基于RISC-V內(nèi)核的32位通用
2022-04-14 14:59:11

【福利】開發(fā)板試用活動(dòng)負(fù)責(zé)人職責(zé)以及獎(jiǎng)勵(lì)措施

可以qq群里進(jìn)行推薦)3、在對應(yīng)版塊開立討論帖,帶領(lǐng)大家一起完成提交的項(xiàng)目計(jì)劃負(fù)責(zé)人獎(jiǎng)勵(lì):1、直接獲得相應(yīng)負(fù)責(zé)的板卡2、獲得一次其他板卡試用的機(jī)會(huì)(可直接聯(lián)系試用活動(dòng)負(fù)責(zé)人進(jìn)行申請)備注:因負(fù)責(zé)人制度
2015-09-08 17:23:32

【致遠(yuǎn)電子AWorks280開發(fā)板試用活動(dòng)】試用名單快遞單號

【致遠(yuǎn)電子AWorks280開發(fā)板試用活動(dòng)】試用名單快遞單號論壇用戶名韻達(dá)快遞單號大佑
2015-10-27 17:12:55

【試用答疑】RA-Eco-RA4M2-100PIN開發(fā)板試用活動(dòng)答疑帖

本帖為RA-Eco-RA4M2-100PIN開發(fā)板試用活動(dòng)答疑帖,各位開發(fā)者可以本帖中回復(fù)試用過程中遇到的困難問題,管理員將為您邀請技術(shù)逐一解答試用活動(dòng)原帖:【有獎(jiǎng)試用14期】RA-Eco-RA4M2-100PIN開發(fā)板(第二批申請名單公布)
2022-11-25 10:24:10

【鴻蒙開發(fā)板試用】第一批 鴻蒙開發(fā)板 試用活動(dòng)名單公布

`發(fā)燒友日記 09月21日 小雨今天又下雨了 雨打的我很不舒服 我站在樓下看著雨 等著那輛一小時(shí)前出發(fā)的貨拉拉~沒錯(cuò),我就是開發(fā)板的搬運(yùn)工!預(yù)計(jì)會(huì)在本周發(fā)放到各位開發(fā)者手中 。本次的試用活動(dòng)第一批
2020-09-21 20:24:24

為什么simulink中用stm32去開發(fā)LED卻不閃爍呢

為什么simulink中用stm32去開發(fā)LED卻不閃爍呢?
2021-11-18 08:10:14

可不可以TDMS中用兩個(gè)表格分別顯示不同采樣率的數(shù)據(jù)

用TDMS保存文件。由于采集的數(shù)據(jù)的采樣率不同,導(dǎo)致顯示表格中的數(shù)據(jù)的數(shù)目不一樣,可以TDMS文件中用兩個(gè)表格 例如“動(dòng)態(tài)”“靜態(tài)”分別顯示采樣率不一樣的數(shù)據(jù)嗎?圖中“高頻信號”是采樣率較高的加速度和應(yīng)變
2018-04-25 15:33:48

哪位大神知道這個(gè)表格labview中用什么構(gòu)件創(chuàng)建???

哪位大神知道這個(gè)表格labview中用什么構(gòu)件創(chuàng)建???
2016-04-19 10:35:12

如何在ubuntu中用vscode編譯調(diào)試C\C++

這里寫目錄標(biāo)題可視化的代碼跟蹤調(diào)試1.VScode的安裝2.ubuntu中用vscode編譯調(diào)試C\C++3.總結(jié)可視化的代碼跟蹤調(diào)試1.VScode的安裝1.我們首先在應(yīng)用商店里面找到
2021-12-14 07:11:30

如何培養(yǎng)<龍傳人>的科學(xué)創(chuàng)新思考技術(shù)

是不是偽科學(xué)呢? 國學(xué)與科學(xué)都是人類創(chuàng)造力的優(yōu)越表現(xiàn),背后都有高度的創(chuàng)新思考力來支撐。國學(xué)與科學(xué)是人們創(chuàng)新思考的兩種不一樣的題材而已,重要的是他們背后的創(chuàng)新思維。創(chuàng)新思維里,扮演著關(guān)鍵的角色。人們
2020-12-28 09:45:03

如何開啟Beacon的創(chuàng)新應(yīng)用?

什么是Beacon?如何開啟Beacon的創(chuàng)新應(yīng)用?
2021-05-19 07:25:27

怎么proteus中用示波器仿真單片機(jī)的輸出波形?

單片機(jī)使用T0,采用方式2定時(shí),P1.0輸出周期為400μs,占空比為10:1的矩形脈沖,如何在proteus中用示波器輸出這段波形進(jìn)行仿真呢?(程序已編好)還有這時(shí)候單片機(jī)的引腳怎么接呢?(新手剛學(xué)proteus,還不熟悉,希望大佬能夠詳細(xì)解答一下)
2023-04-23 16:25:58

怎么強(qiáng)制編譯器XC8中用一個(gè)內(nèi)聯(lián)代碼替換函數(shù)調(diào)用?

大家好,有沒有辦法強(qiáng)制編譯器/鏈接器XC8中用一個(gè)內(nèi)聯(lián)代碼替換函數(shù)調(diào)用?非常感謝你的幫助。
2020-03-31 10:31:25

怎樣UCOS的任務(wù)中用printf正確打印浮點(diǎn)數(shù)格式呢

怎樣UCOS的任務(wù)中用printf正確打印浮點(diǎn)數(shù)格式呢?如何去實(shí)現(xiàn)其功能呢?
2021-12-02 06:58:21

思考驅(qū)動(dòng)創(chuàng)新創(chuàng)新驅(qū)動(dòng)發(fā)展:基于假設(shè)(Assumption)的思考技術(shù)

的推理能力,以戰(zhàn)術(shù),來支撐戰(zhàn)略?!颈疚膬?nèi)容】1. 創(chuàng)新的源頭:假設(shè)(Assumption)&假定(Hypothesis) 無論商業(yè)決策上、產(chǎn)品設(shè)計(jì)方面或科學(xué)技術(shù)上,假設(shè)性的想法,都扮演非常重要
2020-12-21 10:23:41

時(shí)間報(bào)告中用紅色標(biāo)記的術(shù)語是什么意思?

大家好,時(shí)間報(bào)告中用紅色標(biāo)記的術(shù)語是什么意思?
2020-05-25 15:58:34

有大神知道ADS2019中用該design kit的方法嗎?

請問我的TSMC0.18的design kit可以ADS2009中用,為什么ADS2019就不可以用呢?有大神知道ADS2019中用該design kit的方法嗎?
2021-06-25 06:17:09

畢設(shè)中用的霍爾傳感器multisim中怎么仿真啊

畢設(shè)中用的霍爾傳感器multisim中怎么仿真啊
2013-01-07 22:00:59

求助,怎樣labview中用一個(gè)控件實(shí)現(xiàn)系統(tǒng)開始運(yùn)行功能

求助,怎樣labview中用一個(gè)控件實(shí)現(xiàn)系統(tǒng)開始運(yùn)行功能PCB打樣找華強(qiáng) http://www.hqpcb.com 樣板2天出貨
2012-11-28 11:02:48

求幫忙,keil中用C語言怎么觸發(fā)后延時(shí)執(zhí)行程序?

求幫忙,keil中用C語言怎么觸發(fā)后延時(shí)執(zhí)行程序?如圖所示,觸發(fā)if判斷后,插入什么代碼延時(shí)執(zhí)行下面的主程序?
2017-04-12 10:19:27

電源創(chuàng)新有哪些熱門應(yīng)用

精挑細(xì)選了幾大類重中之重的創(chuàng)新產(chǎn)品和技術(shù),然后進(jìn)行深入剖析和闡述梳理如何實(shí)現(xiàn)“高效率、低EMI和小尺寸”永無止境的創(chuàng)新剛需…..,參加這樣的一場工作坊會(huì)是怎樣一種體驗(yàn)?zāi)兀?/div>
2019-07-16 08:34:52

科技創(chuàng)新立項(xiàng),有沒有點(diǎn)好的建議?

科技創(chuàng)新立項(xiàng),有沒有點(diǎn)好的建議?
2012-05-03 12:43:24

簡單就是美——RISC-V架構(gòu)的設(shè)計(jì)哲學(xué)

Instruction Set Computer,RISC)。RISC-V只是伯克利發(fā)明的一種特定指令集架構(gòu)(屬于RISC類型)。一、簡單就是美——RISC-V架構(gòu)的設(shè)計(jì)哲學(xué)RISC-V架構(gòu)作為一種指令集架構(gòu),
2020-07-27 17:47:26

請問大神電賽中用到運(yùn)放時(shí)雙電源怎么解決呢?

請問大神電賽中用到運(yùn)放時(shí)雙電源怎么解決呢?
2023-03-16 10:01:47

請問如何推動(dòng)物聯(lián)網(wǎng)的高能效創(chuàng)新?

請問如何推動(dòng)物聯(lián)網(wǎng)的高能效創(chuàng)新?
2021-06-17 08:57:28

請問有辦法P中用2 ^(8)的因子來放大電流嗎?

有沒有辦法P中用2 ^(8)的因子來放大電流?
2019-08-01 10:59:01

請問選項(xiàng)字節(jié)編程中用戶數(shù)據(jù)的用途是什么?

我想知道選項(xiàng)字節(jié)編程中用戶數(shù)據(jù)的用途是什么?它有助于保護(hù)我 MCU 內(nèi)部的固件,如密碼等?
2023-01-13 06:26:16

轉(zhuǎn):吳堅(jiān)鴻老師的單片機(jī)工程哲學(xué)

吳堅(jiān)鴻老師單片機(jī)工程的開發(fā)框架系列帖子都看過沒?這次吳老師傾情書寫的,自己從業(yè)多年來關(guān)于單片機(jī)開發(fā)的一些哲學(xué)思想。還不錯(cuò),大家學(xué)習(xí)一下吧。
2016-08-09 12:11:47

音頻創(chuàng)新技術(shù)主要應(yīng)用在哪些領(lǐng)域?

音頻創(chuàng)新技術(shù)有哪些優(yōu)勢?音頻創(chuàng)新技術(shù)主要應(yīng)用在哪些領(lǐng)域?
2021-06-16 08:33:29

用活體指紋傳感器MBF310的防盜遙控器設(shè)計(jì)

用活體指紋傳感器MBF310的防盜遙控器設(shè)計(jì) 設(shè)計(jì)一種適用于多種門禁場合下的防盜遙控器,具有活體指紋識別、射頻無線遙控、無線加密數(shù)據(jù)傳輸、無線
2009-03-29 15:10:05439

在測控系統(tǒng)中用IP核實(shí)現(xiàn)D/A轉(zhuǎn)換

摘要:采用數(shù)字化技術(shù)、在測控系統(tǒng)中用IP核實(shí)現(xiàn)D/A轉(zhuǎn)換,并且在1片可編程邏輯器件中實(shí)現(xiàn)。它不受溫度的影響,既可保持高分辨率,又可降低對電路精度和穩(wěn)
2009-06-20 15:04:15910

用活動(dòng)鉛筆拔除多腳元件

用活動(dòng)鉛筆拔除多腳元件 找0.7或0.9活動(dòng)鉛筆一枝,把筆芯退出,先用
2009-09-04 11:52:46956

電池中用硫酸基本常識

電池中用硫酸基本常識   不同溫度下硫酸溶液的密度和質(zhì)量百分濃度的關(guān)系
2009-11-02 11:28:482018

組網(wǎng)中用光纖布線解決方案

組網(wǎng)中用光纖布線解決方案 網(wǎng)絡(luò)是企業(yè)信息化的基礎(chǔ),而布線系統(tǒng)則是網(wǎng)絡(luò)的基石。     美國Gene
2010-04-14 17:06:171027

[5.4.1]--創(chuàng)新應(yīng)用場景展示:模擬森林消防應(yīng)用

創(chuàng)新無人機(jī)
李開鴻發(fā)布于 2022-11-12 01:13:52

pcb layout學(xué)習(xí)中用Allegro幾個(gè)誤區(qū)

本內(nèi)容詳細(xì)介紹pcb layout學(xué)習(xí)中用Allegro幾個(gè)誤區(qū)
2011-11-23 13:49:414440

探求任正非的灰度哲學(xué):揭秘華為為什么不上市?

強(qiáng)大的華為為什么能夠成為世界級公司,在這篇文章中我們來探求任正非的灰度哲學(xué),任正非帶領(lǐng)的華為究竟是怎樣的一個(gè)公司。揭秘華為為什么不上市!
2012-11-29 11:51:352390

基于位置服務(wù)中用戶信息安全保護(hù)方法

基于位置服務(wù)中用戶信息安全保護(hù)方法....
2016-01-04 15:31:556

如何用好用活示波器

如何用好用活示波器,感興趣的小伙伴們可以瞧一瞧。
2016-09-18 17:34:530

在CPLD中用UART邏輯實(shí)現(xiàn)高速異步串行通信

在CPLD中用UART邏輯實(shí)現(xiàn)高速異步串行通信
2017-01-24 16:54:2412

朱元璋的哲學(xué)“要么不做,要么做絕”

讀過《明朝那些事兒》的人應(yīng)該還記得,朱元璋有個(gè)做人的哲學(xué)方法,要么不做,要么做絕。對待貪官,要么不理,兄弟關(guān)系怪好不錯(cuò)的,貪個(gè)差不多就自己知趣點(diǎn)收手算了,如果過分了,直接就砍了,甚至株連九族,省得
2018-04-17 10:53:0016251

數(shù)字哲學(xué)的大數(shù)據(jù)可以改變世界?

數(shù)字和數(shù)學(xué)與萬物的起源有什么關(guān)系呢?在他看來,探討萬物起源這個(gè)問題上,形式比質(zhì)料占有優(yōu)先地位。在他之前,最先思考這一哲學(xué)問題的哲學(xué)家認(rèn)為萬物起源是火、是水,亦或是氣,但無論什么,都是有形可見物質(zhì)
2018-04-08 07:22:001918

華為的實(shí)踐與任式的四大個(gè)人哲學(xué)

正職必須要敢于進(jìn)攻,是狼的標(biāo)準(zhǔn);副職一定要精于管理,是狽的行為。任正非總是可以把艱澀的管理理念通過更容易讓人理解的方式表達(dá)出來。那是因?yàn)樗南敕ú坏珌碜杂谌A為的實(shí)踐,而且也帶著任式的個(gè)人哲學(xué)。任正非對人的能力與要求,相信對每個(gè)企業(yè)管理層來說,都值得學(xué)習(xí)和思考。
2018-10-20 10:33:453366

科學(xué)家利用活植物發(fā)電點(diǎn)亮LED燈泡

據(jù)報(bào)道,科學(xué)家開發(fā)出一種方法,利用活的植物發(fā)電從而點(diǎn)亮LED燈泡,提供了一種可持續(xù)發(fā)電的“綠色”解決方案。這種能發(fā)電的植物由天然的和人造的葉子制成,當(dāng)風(fēng)吹向植物并移動(dòng)樹葉時(shí),就能產(chǎn)生電。
2018-12-24 17:14:276086

蘭德哲學(xué)家認(rèn)為人工智能跟煉金術(shù)一樣不可能

這位蘭德哲學(xué)家認(rèn)為人工智能跟煉金術(shù)一樣不可能,作為一名沒有受過控制論、信息論和計(jì)算機(jī)專業(yè)訓(xùn)練的哲學(xué)家,德雷大眾用這篇報(bào)告闖入了AI學(xué)術(shù)共同體的核心領(lǐng)地,并提出了振聾發(fā)聵的建議,在哲學(xué)的認(rèn)識論轉(zhuǎn)向
2019-07-04 11:34:49472

用活體構(gòu)建導(dǎo)電聚合物的新技術(shù)

美國研究人員開發(fā)出一種新技術(shù),將基因工程與高分子化學(xué)相結(jié)合,利用活體生物復(fù)雜的細(xì)胞結(jié)構(gòu)來構(gòu)建功能性生物電子材料——一種導(dǎo)電聚合物。
2020-03-23 15:44:491478

盧偉冰:Note系列的產(chǎn)品哲學(xué)

哲學(xué)。 盧偉冰表示,Note 系列定位是用旗艦標(biāo)準(zhǔn)做千元精品,并一直致力于高端技術(shù)的快速普及。 IT之家了解到,盧偉冰還提到,不以價(jià)格論高低,用戶想要什么,Note 就給到什么,讓用戶以 20% 的價(jià)格
2020-11-20 10:54:571322

華微電子堅(jiān)守經(jīng)營哲學(xué),實(shí)現(xiàn)真正的多方共贏

  公司經(jīng)營哲學(xué)是企業(yè)從事生產(chǎn)經(jīng)營活動(dòng)的基本指導(dǎo)思想,是由一系列觀念所組成的,而且企業(yè)必須要有自己的經(jīng)營哲學(xué),它有著非常重要的作用及意義。正因如此,作為功率半導(dǎo)體市場的龍頭企業(yè),華微電子歷經(jīng)五十
2021-03-19 10:51:23841

5G網(wǎng)絡(luò)中用于傳輸SSR輔助數(shù)據(jù)的LPP消息格式

5G網(wǎng)絡(luò)中用于傳輸SSR輔助數(shù)據(jù)的LPP消息格式
2021-07-02 11:41:0410

單片機(jī)開發(fā)中用到的各種驅(qū)動(dòng)合輯

單片機(jī)開發(fā)中用到的各種驅(qū)動(dòng)合輯
2021-11-13 14:36:061

在Python中用于終止線程的兩個(gè)選項(xiàng)

? 我經(jīng)常被問到如何殺死一個(gè)后臺線程,這個(gè)問題的答案讓很多人不開心: 線程是殺不死的。在本文中,我將向您展示? Python ?中用于終止線程的兩個(gè)選項(xiàng)。 如果我們是一個(gè)好奇寶寶的話,可能會(huì)遇到這樣
2021-11-17 10:02:394336

河套IT TALK——TALK 12:編程的技術(shù)|藝術(shù)|術(shù)術(shù) 下篇:對著代碼解讀編程的哲學(xué)

往期回顧 TALK 6:? ? 編程的技術(shù)|藝術(shù)|術(shù)術(shù)(上篇)骨灰級程序員的心路歷程 TALK 10:? ? 編程的技術(shù)|藝術(shù)|術(shù)術(shù)(中篇)編程的思想、藝術(shù)和哲學(xué) 前期回顧 前面兩篇里, 骨灰級
2022-12-16 19:35:10623

COF智能屏試用活動(dòng)第二批獲獎(jiǎng)用戶名單公布

Announcement&Sharing評選公布&案例分享COF智能屏試用活動(dòng)獲獎(jiǎng)名單公布(第二批)01HotCOF屏試用活動(dòng)獲獎(jiǎng)名單圖丨7.0寸COF屏廣受好評的COF屏試用活
2022-04-08 10:27:07407

如何在VScode中用AI補(bǔ)全V/SV代碼呢?

昨天群里小伙伴說在VScode中用AI寫verilog效果很好。小編以前編輯代碼都是用gvim。抱著學(xué)習(xí)的心態(tài),我在linux下嘗試了下用VScode寫代碼。
2023-08-03 10:24:241988

已全部加載完成