電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>電子技術(shù)應(yīng)用>實驗中心>電子實驗>數(shù)字頻率計設(shè)計

數(shù)字頻率計設(shè)計

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

[求助]數(shù)字頻率計設(shè)計

     我是一大三測控專業(yè)的學(xué)生,我們微電子實習(xí)需要設(shè)計一數(shù)字頻率計,個人由于能力限制,許多方面都還不是很明白,希望能得到
2008-12-12 19:01:39

基于51單片機的數(shù)字頻率計原理圖

基于單片機的數(shù)字頻率計設(shè)計原理圖免費下載。
2022-04-18 09:24:439

基于單片機的數(shù)字頻率計設(shè)計

文末下載完整資料1.1數(shù)字頻率計概述? ?數(shù)字頻率計是計算機、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測量儀器。它是一種用十進制數(shù)字顯示被測信號頻率數(shù)字測量儀器。它的基本功能是測量正弦信號
2021-11-04 12:51:0431

數(shù)字頻率計 風(fēng)力擺 雙向DC-DC變換器

數(shù)字頻率計 風(fēng)力擺 雙向DC-DC變換器(服務(wù)器電源a類)-數(shù)字頻率計、風(fēng)力擺、雙向DC-DC變換器
2021-07-26 14:16:3912

基于51單片機的數(shù)字頻率計的設(shè)計講解

基于51單片機的數(shù)字頻率計的設(shè)計講解(單片機原理及應(yīng)用)-該文檔為基于51單片機的數(shù)字頻率計的設(shè)計講解資料,講解的還不錯,感興趣的可以下載看看…………………………
2021-07-22 10:54:4385

基于51單片機的數(shù)字頻率計的設(shè)計簡介

基于51單片機的數(shù)字頻率計的設(shè)計說明。
2021-05-27 14:41:5750

數(shù)字頻率計VHDL程序與仿真的設(shè)計資料免費下載

本文檔的主要內(nèi)容詳細介紹的是數(shù)字頻率計VHDL程序與仿真的設(shè)計資料免費下載。
2021-01-20 13:44:1828

數(shù)字頻率計的設(shè)計程序和工程文件免費下載

本文檔的主要內(nèi)容詳細介紹的是數(shù)字頻率計的設(shè)計程序和工程文件免費下載。
2020-11-20 17:59:2330

使用FPGA設(shè)計的數(shù)字頻率計Verilog程序免費下載

本文檔的主要內(nèi)容詳細介紹的是使用FPGA設(shè)計的數(shù)字頻率計Verilog程序免費下載。
2020-01-06 08:00:0024

使用Multisim制作的簡易數(shù)字頻率計電路圖免費下載

本文檔的主要內(nèi)容詳細介紹的是使用Multisim制作的簡易數(shù)字頻率計電路圖免費下載。
2019-06-19 08:00:0065

如何進行簡易數(shù)字頻率計的設(shè)計分析

文章簡單闡述了數(shù)字頻率計在設(shè)計之前的理論分析,給出了數(shù)字頻率計誤差分析、靈敏度涵義、觸發(fā)信號誤差、信號時間間隔的測量等問題的理論分析,為數(shù)字頻率計的設(shè)計打下了一定的基礎(chǔ)。
2019-05-27 08:00:007

基于FPGA自適應(yīng)數(shù)字頻率計的設(shè)計

頻率的測量。目前,市場上有各種多功能、高精度、高頻率數(shù)字頻率計,但價格不菲。為適應(yīng)實際工作的需要,本文在簡述頻率測量的基本原理和方法的基礎(chǔ)上,提供一種基于FPGA的數(shù)字頻率計的設(shè)計和實現(xiàn)過程,本方案不但切實可行,而且具有成本低廉、小巧輕便、便于攜帶等特點。
2019-01-01 16:00:006008

基于單片機設(shè)計的數(shù)字頻率計文檔下載

單片機設(shè)計的數(shù)字頻率計論文及總結(jié)
2017-12-21 17:10:3834

基于單片機的自動量程數(shù)字頻率計的設(shè)計

基于單片機的自動量程數(shù)字頻率計的設(shè)計,
2017-10-26 10:59:1729

基于單片機的系統(tǒng)硬件數(shù)字頻率計的設(shè)計

基于單片機的系統(tǒng)硬件數(shù)字頻率計的設(shè)計
2017-09-21 15:32:5046

基于FPGA數(shù)字頻率計的設(shè)計

數(shù)字頻率計設(shè)計要點,代碼(電子設(shè)計競賽編程、寫論文時可用)
2017-08-04 09:19:1049

DDS脈沖數(shù)字頻率計

DDS脈沖。數(shù)字頻率計
2017-05-18 09:31:527

基于51單片機軟核的數(shù)字頻率計設(shè)計_湯書森

基于51單片機軟核的數(shù)字頻率計設(shè)計_湯書森,感興趣的小伙伴們可以看看。
2016-08-17 11:54:06102

基于Multisim的數(shù)字頻率計

簡單的基于Multisim的數(shù)字頻率計資料。
2016-06-21 17:02:48266

簡易數(shù)字頻率計

設(shè)計頻率計的過程,希望對大家有用。數(shù)字頻率計的設(shè)計包括時基電路、整形電路、控制電路和計數(shù)顯示電路四部分組成
2016-06-15 14:22:09181

畢業(yè)論文:一種基于單片機數(shù)字頻率計的設(shè)計與實現(xiàn)

數(shù)字頻率計的設(shè)計,protel仿真,at89c52。
2016-05-26 11:46:3462

數(shù)字頻率計

數(shù)字頻率計,數(shù)字電路課程的課程設(shè)計, 1) 頻率測量范圍:1HZ-10KHZ,10KHZ-100KHZ。 2)測量時間:T≤1.5S。 3)被測信號幅度:0.5V。 4) 具有四位十進制數(shù)字顯示功能。
2016-05-13 11:28:0547

AT89S51制作的高精度2.4G數(shù)字頻率計

AT89S51制作的高精度2.4G數(shù)字頻率計,可以自己diy一個哦
2016-05-06 15:06:0951

數(shù)字頻率計設(shè)計論文

數(shù)字頻率計設(shè)計的論文,可以參考,對畢設(shè)有用的。
2016-05-06 10:25:52109

基于15芯片的數(shù)字頻率計

基于15芯片的數(shù)字頻率計,內(nèi)含有詳細程序,經(jīng)測試能正常使用,可測8兆左右的頻率。望采納。
2016-04-29 16:50:266

數(shù)字頻率計_2015年全國大學(xué)生電子設(shè)計大賽F題技術(shù)報告

2015年全國大學(xué)生電子設(shè)計大賽F題技術(shù)報告詳細介紹數(shù)字頻率計的制作
2016-03-18 15:26:2537

數(shù)字頻率計的基本原理

帶仿真圖,簡單說明數(shù)字頻率計的設(shè)計過程及原理
2016-03-18 14:47:4612

大學(xué)數(shù)字頻率計課程設(shè)計報告

數(shù)字頻率計是一種專門對被測信號頻率進行測量的電子測量儀器。其最基本的工作原理為:當(dāng)被測信號在特定時間段T內(nèi)的周期個數(shù)為N時,則被測信號的頻率f=N/T。
2016-03-01 17:29:0863

基于Multisim的數(shù)字頻率計的設(shè)計

基于Multisim的數(shù)字頻率計的設(shè)計,按要求測量的是正弦波信號,并且有2個頻率檔位,測量范圍是10MHz。在本設(shè)計中,可以測量正弦波信號及三角波信號,和方波信號。設(shè)計中有四個檔位,分別為1Hz檔或
2016-01-15 16:18:08134

數(shù)字頻率計源程序+電路圖

數(shù)字頻率計,正弦信號,前面有信號處理電路,proteus仿真
2015-12-23 10:31:5561

基于51單片機的數(shù)字頻率計

基于51單片機的數(shù)字頻率計源程序。
2015-12-17 15:12:54112

簡易數(shù)字頻率計設(shè)計

設(shè)計簡易數(shù)字頻率計,想學(xué)習(xí)的趕緊下載看看。
2015-12-14 17:40:00111

基于verilog語言的數(shù)字頻率計設(shè)計

基于verilog語言的數(shù)字頻率計設(shè)計基于verilog語言的數(shù)字頻率計設(shè)計基于verilog語言的數(shù)字頻率計設(shè)計基于verilog語言的數(shù)字頻率計設(shè)計
2015-12-08 15:57:2374

數(shù)字頻率計的制作

數(shù)字頻率計是采用數(shù)字電路制做成的能實現(xiàn)對周期性變化信號頻率測量的儀器。頻率計主要用于測量正弦波、矩形波、三角波和尖脈沖等周期信號的頻率值。其擴展功能可以測量信號的周期和脈沖寬度。通常說的,數(shù)字頻率計是指電子計數(shù)式頻率計。
2015-11-20 14:55:57142

基于DSP2812設(shè)計的簡易數(shù)字頻率計

我們設(shè)計的簡易數(shù)字頻率計在未采用任何門控器件控制的情況下,在很寬的范圍內(nèi)實現(xiàn)了等精度頻率測量,0.5Hz~10MHz的范圍內(nèi)測量方波的最大相對誤差小于2e-6,測量正弦波的最大相對誤
2011-10-09 11:46:554613

基于NiosII的等精度數(shù)字頻率計的設(shè)計

NiosII 處理器是一個具有很大靈活性的32 軟核處理器,比較容易在片上實現(xiàn)SOPC。本文主要提供了一種基于NiosII 軟核處理器的等精度數(shù)字頻率計的設(shè)計的實現(xiàn)方法。
2011-09-27 14:51:2566

設(shè)計簡易數(shù)字頻率計

頻率測量用途非常廣泛,高精度、寬量程的數(shù)字頻率計因而成為重要的測量儀器。簡易 數(shù)字頻率計 采用多周期測量原理,即用標(biāo)準頻率信號填充整數(shù)個周期的被測信號,從而消除了被
2011-06-28 17:31:421194

數(shù)字頻率計

數(shù)字頻率計是一種基礎(chǔ)測量儀器,到目前為止已有30多年的發(fā)展史。早期,設(shè)計師們追求的目標(biāo)主要是擴展測量范圍,再加上提高測量精度、穩(wěn)定度等,這些也是人們衡量數(shù)字頻率計
2011-04-07 13:40:59922

簡易數(shù)字頻率計課程設(shè)計報告

簡易數(shù)字頻率計課程設(shè)計報告
2010-08-10 11:45:26628

超高頻數(shù)字頻率計的設(shè)計

摘要:介紹了以通用頻率計數(shù)器ICM7216D為核心,結(jié)合大規(guī)模集成電路和簡單的外圍電路,采用分頻法進行測量范圍為10kHz~700MHz的超高頻數(shù)字頻率計的設(shè)計方法,并給出了該頻率計
2010-05-25 09:03:31100

八位150MHz數(shù)字頻率計的制作

八位150MHz數(shù)字頻率計的制作 在制作高頻電路時,會經(jīng)常碰到頻率測量的問題,實際上頻率計(frequency counter)是一種比較容易自制的電子設(shè)備。以下將要制作的頻率計,其
2010-05-14 10:21:206257

基于FPGA/CPLD芯片的數(shù)字頻率計設(shè)計

基于FPGA/CPLD芯片的數(shù)字頻率計設(shè)計摘要:詳細論述了利用VHDL硬件描述語言設(shè)計,并在EDA(電子設(shè)計自動化)工具的幫助下,用大規(guī)??删幊踢壿嬈骷?FPGA/CPLD)實現(xiàn)
2010-04-30 14:45:13132

基于單片機的數(shù)字頻率計的方案

基于單片機的數(shù)字頻率計的方案 概述:設(shè)計一種以單片機AT89C51為核心的數(shù)字頻率計,介紹了單片機、數(shù)字譯碼和顯示單元的組成及工作原理。測量
2010-03-24 10:02:016181

基于高速串行BCD碼除法的數(shù)字頻率計的設(shè)計

摘要: 介紹了在PPGA芯片上實現(xiàn)數(shù)字頻率計的原理。對各種硬件除法進行了比較,提出了高速串行BCD碼除法的硬件算法,并將其應(yīng)用在頻率計設(shè)計中。
2009-06-20 14:48:141147

數(shù)字頻率計電路圖

數(shù)字頻率計電路圖
2009-04-11 11:31:292227

數(shù)字頻率計電路圖

數(shù)字頻率計電路圖
2009-04-11 11:30:345577

基于FPGA的數(shù)字頻率計的設(shè)計與實現(xiàn)

介紹了一種運用FPGA開發(fā)軟件Quartus II設(shè)計的數(shù)字頻率計。該數(shù)字頻率計的1 Hz~1 MHz輸入被測脈沖信號具有頻率測量、周期測量、脈寬測量和占空比測量等多種用途,其測試結(jié)果由3 只
2009-03-17 10:31:31184

量程自動控制的數(shù)字頻率計

量程自動控制的數(shù)字頻率計一、 實驗?zāi)康模?、數(shù)字系統(tǒng)的設(shè)計與調(diào)試2、TTL 數(shù)字集成電路的使用3、量程自動控制的邏輯設(shè)計二、實驗要求:設(shè)計
2009-03-06 19:56:012310

數(shù)字頻率計頻率的基本原理

了解數(shù)字頻率計頻率與測周期的基本原理;熟練掌握數(shù)字頻率計的設(shè)計與調(diào)試方法及減小測量誤差的方法。[重點與難點]重點:數(shù)字頻率計的組成框圖和波形圖。難點:
2008-12-01 14:36:48505

基于單片機和CPLD的數(shù)字頻率計的設(shè)計

基于單片機和CPLD的數(shù)字頻率計的設(shè)計 在傳統(tǒng)的控制系統(tǒng)中,通常將單片機作為控制核心并輔以相應(yīng)的元器件構(gòu)成一個整體。但這種方法硬件連線復(fù)
2008-10-15 08:59:51992

數(shù)字頻率計課程設(shè)計圖

數(shù)字頻率計電路圖 包括了程序,PCB,原理圖。
2008-04-26 10:12:055955

已全部加載完成