電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>模擬技術(shù)>驗(yàn)證/仿真>基于Multisim 10的矩形波信號發(fā)生器仿真與實(shí)現(xiàn)

基于Multisim 10的矩形波信號發(fā)生器仿真與實(shí)現(xiàn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

信號發(fā)生器仿真電路

信號發(fā)生器仿真電路
2010-04-20 22:18:572596

multisim中函數(shù)信號發(fā)生器怎么接?

Multisim中函數(shù)發(fā)生器的三個端子,“+”和“-”輸出是振幅相同但極性相反的信號(均相對于“COM”),即當(dāng)“+”端輸出波形為正半周波時,“-”端輸出為負(fù)半周波。如果信號
2023-02-27 14:40:247995

Multisim10教程

基本編輯方式 1.4.5 設(shè)置元器件參數(shù)及文件的保存 1.5 Multisim 10虛擬儀器的使用 1.5.1 數(shù)字萬用表 1.5.2 函數(shù)信號發(fā)生器和示波器 1.5.3 功率表 1.5.4 IV特性
2017-07-29 17:27:19

multisim11.0 安捷倫函數(shù)信號發(fā)生器 相關(guān)問題

`multisim11.0 安捷倫函數(shù)信號發(fā)生器 經(jīng)過二階RC高通濾波電路 波特圖示儀不顯示。用簡單的函數(shù)信號發(fā)生器,波特圖示儀就顯示,這是什么問題,請各位大神幫幫忙。`
2013-06-25 15:09:45

信號發(fā)生器

信號發(fā)生器,有仿真文件啊
2014-04-07 20:55:41

信號發(fā)生器

在晶體管共發(fā)射極電路調(diào)試中,信號發(fā)生器正弦配置好后用示波器可以看到正確的正弦波形,但是把信號發(fā)生器接入到共發(fā)射極電路中的信號源中,則信號發(fā)生器沒有正弦波形輸出,這是怎么回事??? 請哪位高手幫忙解決一下,不勝感謝!
2012-11-06 17:45:50

信號發(fā)生器的分類 購線網(wǎng)

信號發(fā)生器是指測量用信號發(fā)生器,它可以提供電子測量的各種不同頻率電信號(正弦 信號、方波、二角等),其幅值也可按需要進(jìn)行調(diào)節(jié),是最基本和應(yīng)用最廣泛的電子測量 儀器之一。信號發(fā)生器的分類(1)按用途
2017-03-23 17:02:36

信號發(fā)生器的設(shè)計(jì)

信號發(fā)生器的設(shè)計(jì).doc要求:1)能綜合運(yùn)用已學(xué)過的知識,掌握電路設(shè)計(jì)的一般方法,設(shè)計(jì)一個有三種輸出波形的電路;(2)能產(chǎn)生20Hz~10KHz連續(xù)可調(diào)的正弦和三角,峰峰值在-5~5V內(nèi)可調(diào)
2012-10-18 16:24:57

矩形的相關(guān)資料推薦

正弦發(fā)生電路的基礎(chǔ)。例如,方波加在積分電路的輸入端,輸出就獲得三角。??矩形只有兩種狀態(tài),不是高電平,就是低電平,正好與電壓比較的輸出狀態(tài)對應(yīng)。如果可以使用電壓周期性變化的信號源,無論這個信號...
2021-12-29 07:22:02

DAC0832的多功能信號/波形發(fā)生器Proteus仿真設(shè)計(jì) 精選資料推薦

注:獲取全套設(shè)計(jì)資源,請見文末說明…設(shè)計(jì)要求1.輸出4種波形:方波、鋸齒、三角和正弦;2.幅值調(diào)節(jié)范圍為:2V-10V;3.頻率調(diào)節(jié)范圍為:20Hz-80Hz;內(nèi)容簡介信號發(fā)生器仿真系統(tǒng)由51
2021-07-19 07:11:48

TimerOne實(shí)現(xiàn)脈沖信號發(fā)生器遇到的問題

最近在學(xué)習(xí)Arduino,用Arduino+LCD1602+AD9954做了一個信號發(fā)生器,想增加脈沖調(diào)制功能,于是準(zhǔn)備用TimerOne實(shí)現(xiàn)脈沖信號發(fā)生器。但出來的信號不正常,不知道什么原因,請
2017-03-04 20:26:45

[資料] 實(shí)例講解Multisim+10電路仿真(經(jīng)典圖書

應(yīng)用仿真  2.3 二階有源低通濾波仿真  2.4 Multisim 10在電源電路中的應(yīng)用  2.4.1 單相半可控整流電路的仿真分析  2.4.2 單相半控橋式整流電路的仿真分析  2.4.3
2012-11-19 17:17:36

proteus仿真函數(shù)發(fā)生器出現(xiàn)問題

proteus仿真用運(yùn)放UA741制作函數(shù)發(fā)生器矩形、三角和正弦),分別是電壓比較、積分和差分放大電路,打開示波器卻只顯示三條直線,找了好半天都沒找出問題來PS: 我是第一次用
2012-05-26 23:53:36

proteus仿真數(shù)字信號發(fā)生器問題

proteus中數(shù)字信號發(fā)生器DCLOCK仿真時怎么手動改變頻率?不想改變一次頻率仿真一次呀。跪求各位大神
2013-04-14 13:30:14

【MM32 MiniBoard申請】基于MCU的信號發(fā)生器的設(shè)計(jì)

申請理由:項(xiàng)目描述:基于MCU的信號發(fā)生器的設(shè)計(jì),通過此開發(fā)套件來制作一個簡易信號發(fā)生器,實(shí)現(xiàn)正弦波、三角、方波、鋸齒等常用波形的產(chǎn)生,為進(jìn)一步學(xué)習(xí)電子技術(shù)奠定基礎(chǔ)~
2016-11-25 17:53:53

三角發(fā)生器

向大神請教,如何設(shè)計(jì)10MHZ 以上三角發(fā)生器 幅值4v,可以調(diào)頻率,調(diào)偏置。
2017-11-15 18:36:45

上升/下降階梯發(fā)生器

請問哪位大俠有上升/下降階梯發(fā)生器multisim仿真電路圖,謝謝
2017-01-13 23:41:09

任意發(fā)生器,如何輸出波形、帶調(diào)制的信號?

  今天博宇訊銘給大家演示一下如何用SDG6000X-E輸出標(biāo)準(zhǔn)的波形,以及任意發(fā)生器產(chǎn)生的帶調(diào)制的信號?! ∈紫任覀兇蜷_一臺SDG6000X-E和一臺示波器。通過BNC連接線,連接好發(fā)生器通道1
2022-08-18 16:32:07

你們知道什么是信號發(fā)生器嗎?

發(fā)生器又稱信號源或振蕩,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有著廣泛的應(yīng)用。各種波形曲線均可以用三角函數(shù)方程式來表示。能夠產(chǎn)生多種波形,如三角、鋸齒、矩形(含方波)、正弦的電路被稱為函數(shù)信號發(fā)生器。 簡介
2015-12-24 14:52:23

關(guān)于字信號發(fā)生器的使用

本人菜鳥一個,剛開始接觸multisim,有誰能幫我詳細(xì)介紹一下字信號發(fā)生器的使用。還有就是在multisim中如何同時輸入多個輸入,最好不要用開關(guān),就是有沒有元件可以實(shí)現(xiàn)(例如輸入一個四位二進(jìn)制數(shù))。
2012-11-27 21:22:41

出售HP83630A回收信號發(fā)生器HP83630A

信號源或激勵源。(83630A)信號發(fā)生器又稱信號源或振蕩,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有著廣泛的應(yīng)用。各種波形曲線均可以用三角函數(shù)方程式來表示。能夠產(chǎn)生多種波形,如三角、鋸齒、矩形(含方波
2019-03-16 09:17:04

函數(shù)信號發(fā)生器

我的實(shí)驗(yàn)中有這么一個電路(我不是做電子線路的仿真實(shí)驗(yàn)),我想用multisim仿真,來確定元件的參數(shù),因?yàn)?b class="flag-6" style="color: red">仿真中是不存在實(shí)際的光的,我想用LED發(fā)光代替實(shí)際中的光,那么我就要給LED一個驅(qū)動電路,請問我可以用函數(shù)發(fā)生器作為頻率可調(diào)的電源給LED的驅(qū)動電路嗎,謝謝?。?!
2017-04-10 22:39:08

函數(shù)信號發(fā)生器

正弦、方波、三角、鋸齒發(fā)生器,幅度、頻率動態(tài)調(diào)整,用FPGA實(shí)現(xiàn)
2013-05-14 21:24:25

函數(shù)信號發(fā)生器

短路、錯接等誤操作或外電流倒灌造成損壞。產(chǎn)生所需參數(shù)的電測試信號儀器。按其信號波形分為四大類:①函數(shù)(波形)信號發(fā)生器。能產(chǎn)生某些特定的周期性時間函數(shù)波形(正弦、方波、三角、鋸齒和脈沖等)信號
2017-06-12 10:46:01

函數(shù)信號發(fā)生器哪家強(qiáng)

外接10MHz同步(使用同一時鐘),一方面簡化連接方式,另一方面能夠提供精準(zhǔn)的相位差關(guān)系。 Fig1. 雙通道的Rigol DG1000Z另外當(dāng)需要仿真差分信號時,雙通道的函數(shù)信號發(fā)生器通過“通道設(shè)置
2020-05-12 17:26:04

函數(shù)信號發(fā)生器是否與任意波形信號發(fā)生器相同

原理上是一樣的,但是實(shí)現(xiàn)方法不一樣吧,函數(shù)信號發(fā)生器是用數(shù)學(xué)運(yùn)算的方法實(shí)現(xiàn)的,直接但是對單片機(jī)的運(yùn)算能力要求較高,而任意波形發(fā)生器是通過波形疊加和頻率合成的方法完成的,理論基礎(chǔ)要求比較高。函數(shù)發(fā)生器
2022-03-18 17:38:36

十個信號發(fā)生器方案給你提供靈活多變的信號

、頻率特性、傳輸特性及其它電參數(shù)時,以及測量元器件的特性與參數(shù)時,用作測試的信號源或激勵源。整理了10個簡單的信號發(fā)生器,一起學(xué)習(xí)成長吧。1、信號發(fā)生器同時輸出正弦,方波以及三角。其中方波輸出采用
2022-04-06 18:31:10

基于AD9833的信號發(fā)生器設(shè)計(jì)與實(shí)現(xiàn)

,性能優(yōu)良的信號發(fā)生器。經(jīng)現(xiàn)場驗(yàn)證,該信號發(fā)生器可以非常方便地生成各種頻率的正弦、三角和方波。【關(guān)鍵詞】:直接數(shù)字頻率合成(DDS);;信號發(fā)生器;;AVR單片機(jī);;SPI總線【DOI】:CNKI
2010-04-24 08:59:31

基于Cordic的正弦信號發(fā)生器建模仿真

基于Cordic的正弦信號發(fā)生器建模仿真(1)信號頻率范圍10Hz—200KHz;(2)給出Cordic算法信號發(fā)生器;(3)可以隨時改變頻率控制字或相位控制字。
2015-07-03 12:40:17

基于DSP的正弦信號發(fā)生器源程序

基于DSP的正弦信號發(fā)生器源程序(匯編語言)正弦信號發(fā)生器源程序D.1用泰勒級數(shù)開展開法計(jì)算一個角度的余弦值
2021-08-17 07:15:25

基于FPGA的雙路低頻信號發(fā)生器設(shè)計(jì)

設(shè)計(jì)信號發(fā)生器。整個系統(tǒng)由觸摸屏人機(jī)操控界面、紅外操控、信號發(fā)生器、疊加電路組成。信號發(fā)生器可輸出兩路相互獨(dú)立的信號,信號類型有矩形、三角以及正弦。兩路信號的頻率、幅值、相位、占空比等參數(shù)都可
2018-08-23 15:32:05

基于stm32的信號發(fā)生器設(shè)計(jì)

基于stm32的信號發(fā)生器設(shè)計(jì),完成的課程設(shè)計(jì),把代碼分享給大家,代碼比較簡單,適合新手。使用DDS的方法,把正弦、三角矩形的數(shù)值存在對應(yīng)的數(shù)組中,不斷調(diào)用數(shù)組輸出,產(chǎn)生波形。使用DAC將
2021-08-09 06:45:38

基于單片機(jī)的信號發(fā)生器的設(shè)計(jì)

基于單片機(jī)的信號發(fā)生器應(yīng)具有以下功能:1.設(shè)計(jì)一個單片機(jī)系統(tǒng),通過按鍵選擇輸出信號的波形(正弦、方波、三角、鋸齒等)。2.可以通過按鍵設(shè)定輸出波形的頻率。3.能夠顯示輸出波形代碼和頻率
2014-05-16 09:26:02

復(fù)合信號發(fā)生器設(shè)計(jì)

請將設(shè)計(jì)好的電路在計(jì)算機(jī)上進(jìn)行仿真。仿真軟件可使用Multisim或proteus,若有別的更好的仿真工具使用兩片LM358(雙運(yùn)放)芯片設(shè)計(jì)制作一個復(fù)合信號發(fā)生器。設(shè)計(jì)制作要求如圖 1 所示
2017-11-12 02:32:20

多功能信號發(fā)生器

~80Hz; 內(nèi)容簡介: 信號發(fā)生器仿真系統(tǒng)由51單片機(jī)最小系統(tǒng)、LCD12864液晶模塊、DAC0832波形轉(zhuǎn)換模塊(包括波形幅值與頻率轉(zhuǎn)換)、按鍵控制模塊以及示波器測量模塊構(gòu)成。通過Keil平臺
2019-08-06 15:32:01

如何實(shí)現(xiàn)簡易正弦信號發(fā)生器的設(shè)計(jì)?

如何實(shí)現(xiàn)簡易正弦信號發(fā)生器的設(shè)計(jì)?單片正弦信號發(fā)生芯片ML2035具有哪些特點(diǎn)?ML2035的基本原理是什么?主要由哪些部分組成?
2021-04-14 06:51:25

如何利用AD7008構(gòu)成可程控正弦信號發(fā)生器?

可程控正弦信號發(fā)生器的系統(tǒng)組成可程控正弦信號發(fā)生器的設(shè)計(jì)方案
2021-04-07 06:29:57

如何去實(shí)現(xiàn)一種基于單片機(jī)的函數(shù)信號波形發(fā)生器系統(tǒng)設(shè)計(jì)

(10-100HZ);3、采用DAC0832產(chǎn)生模擬信號輸出;4、采用LM358設(shè)計(jì)信號增益放大電路;4、采用按鍵設(shè)置波形種類和頻率步進(jìn)值;5、采用電位改變振幅(0V-3.5V穩(wěn)定);6、可產(chǎn)生正弦/鋸齒/三角/矩形;7、四個指示燈分別指示發(fā)出哪種波形。...
2021-12-06 07:47:14

如何用MATLAB和聲卡實(shí)現(xiàn)T型信號發(fā)生器?

本文以MATLAB6.5版和Waveterminal 192L聲卡為例,介紹了實(shí)現(xiàn)T型信號發(fā)生器的方法。
2021-04-15 06:49:48

如何設(shè)計(jì)基于FPGA的DDS信號發(fā)生器

信號發(fā)生器又稱信號源或振蕩,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有 著廣泛的應(yīng)用。能夠產(chǎn)生多種波形,如三角、鋸齒、矩形(含方波)、正弦的電路被稱為函數(shù)信號發(fā)生器
2019-11-11 08:07:57

如何設(shè)計(jì)并實(shí)現(xiàn)模擬雷達(dá)信號發(fā)生器?

模擬雷達(dá)信號發(fā)生器的結(jié)構(gòu)是怎樣組成的?如何設(shè)計(jì)并實(shí)現(xiàn)模擬雷達(dá)信號發(fā)生器?
2021-04-29 07:20:27

如何采用CMOS工藝去設(shè)計(jì)三角信號發(fā)生器

三角信號發(fā)生器的原理是什么?三角信號發(fā)生器的設(shè)計(jì)約束是什么?如何采用CMOS工藝去設(shè)計(jì)三角信號發(fā)生器?
2021-04-13 06:26:12

微波信號發(fā)生器

合成和固態(tài)振蕩技術(shù)與微處理控制相結(jié)合,可以提供更完善的頻率和幅度控制、編程能力、自測試和診斷以及內(nèi)部和外部校準(zhǔn)。由此得到高度精確、功能實(shí)用和低成本的信號發(fā)生器信號發(fā)生器的類型1、連續(xù)信號發(fā)生器
2017-10-10 09:32:28

怎么實(shí)現(xiàn)信號發(fā)生器系統(tǒng)的FPGA設(shè)計(jì)?

怎么實(shí)現(xiàn)信號發(fā)生器系統(tǒng)的FPGA設(shè)計(jì)?
2021-09-30 06:35:31

怎么實(shí)現(xiàn)m序列信號發(fā)生器的設(shè)計(jì)?

m序列信號發(fā)生器由那幾部分組成?怎么實(shí)現(xiàn)m序列信號發(fā)生器的設(shè)計(jì)?
2021-05-10 06:09:23

振幅調(diào)制信號發(fā)生器

: 正弦發(fā)生器的設(shè)計(jì)、AM調(diào)制的設(shè)計(jì)、LC濾波的設(shè)計(jì);博客涉及術(shù)語:NE555信號發(fā)生器、文氏橋正弦發(fā)生器、MC1596乘法器、AD835乘法器、multisim仿真、...
2021-07-29 09:41:27

新人求教,為什么這個信號發(fā)生器起不了振?

這是課本中一個“用積分構(gòu)成的超低頻信號發(fā)生器”,想用multisim仿真出來,結(jié)果不管怎么調(diào)參數(shù)都起不了振,不知道該怎么改或者調(diào)參數(shù)才能出結(jié)果。希望各位大佬能幫幫小白
2019-11-17 18:48:37

正弦信號發(fā)生器設(shè)計(jì)的問題

本帖最后由 gk320830 于 2015-3-7 21:38 編輯 這是我用mulitisim仿真的基于555的信號發(fā)生器,請教一下哪出問題了,導(dǎo)致不能起振啊三個輸出分別是方波、三角、正弦
2013-08-04 12:05:51

正弦信號發(fā)生器的阻抗測量設(shè)計(jì)

阻抗測量通常是向被測對象注入微小的正弦電流信號,同時通過測量電壓信號,以獲取相關(guān)的電阻抗信息。系統(tǒng)不僅要求正弦信號波形失真小、幅值穩(wěn)定,而且必須具有頻率、幅值、相位可調(diào)節(jié)的功能。因此正弦信號發(fā)生器的設(shè)計(jì)是阻抗測量系統(tǒng)的重要環(huán)節(jié)。
2019-07-19 07:36:52

求助proteus軟件制作一個信號發(fā)生器

通過proteus軟件制作一個信號發(fā)生器,具體要求如下:1、要求該信號發(fā)生器能產(chǎn)生三角、鋸齒、正弦三種波形2、可通過按鍵在各種波形之間不斷切換通過DAC0832模數(shù)轉(zhuǎn)換來實(shí)現(xiàn)
2013-01-05 14:04:20

淺析iBoard任意發(fā)生器的軟硬件

iBoard任意發(fā)生器的硬件主要是由哪些部分組成的?iBoard任意發(fā)生器軟件的原理是什么?
2021-11-12 07:34:08

淺談任意波形信號發(fā)生器

`凡是產(chǎn)生測試信號的儀器,統(tǒng)稱為信號發(fā)生器,它用于產(chǎn)生被測電路所需特定的電測試信號。信號發(fā)生器有多種分類,今天介紹的是利利普OWON AG系列任意信號發(fā)生器。1.單雙通道,雙通道完全獨(dú)立通道2.
2017-09-19 11:18:26

混合波形信號發(fā)生器的波形采集問題

自己編寫了一個2個混合波形的信號發(fā)生器,想要把波形信息采集起來,但不知道該用什么控件,如下圖前面是發(fā)生器,后面是之前我編寫用于采集外部傳感信號的DAO采集程序,想問一下兩者如何建立通信,或者有沒有可能把發(fā)生器信號轉(zhuǎn)化成仿真任務(wù),從而通過DAQ采集程序的物理通道來選擇信號加以采集。
2019-04-23 15:06:08

脈沖信號發(fā)生器

哪個大神能提供一下E題的第五問的信號發(fā)生器的FPGA的程序,用的是STM32F1。提供一個標(biāo)準(zhǔn)矩形脈沖信號發(fā)生器,要求:a) 頻率為1MHz,誤差的絕對值不大于0.1%;b) 脈寬為100ns,誤差
2016-07-27 21:04:06

脈沖信號發(fā)生器的組成

脈沖信號發(fā)生器主要是為脈沖電路和數(shù)字電路的動態(tài)特性的測試提供脈沖信號。如研究限幅的限幅特性、鉗位電路的鉗位特性、觸發(fā)的觸發(fā)特性、門電路的轉(zhuǎn)換特性和延遲時間、開關(guān)電路的開關(guān)速度及數(shù)字集成電路
2017-10-16 10:25:44

脈沖信號發(fā)生器的組成

脈沖信號發(fā)生器主要是為脈沖電路和數(shù)字電路的動態(tài)特性的測試提供脈沖信號。如研究限幅的限幅特性、鉗位電路的鉗位特性、觸發(fā)的觸發(fā)特性、門電路的轉(zhuǎn)換特性和延遲時間、開關(guān)電路的開關(guān)速度及數(shù)字集成電路
2018-02-27 09:23:52

設(shè)計(jì)的信號發(fā)生器,實(shí)際做出來方波變正弦

`設(shè)計(jì)了一個基于LM324的信號發(fā)生器,從左到右依次產(chǎn)生方波、三角、正弦仿真基本滿足要求,但實(shí)際電路板制作中方波生成處卻輸出了正弦,想問這個電路圖是否存在什么問題?`
2019-04-29 20:52:02

請問怎么用matlab將任意下載到信號發(fā)生器

請問如何用matlab將任意下載到信號發(fā)生器,我用matlab生成了一個波形,然后我想用USB通訊的方式,將數(shù)據(jù)下載到任意信號發(fā)生器上,我用的型號是HAMEG 8150型號的信號發(fā)生器我的設(shè)備能用matlab上面的儀器控制工具箱通信,但是不知道怎么將波形下載到信號發(fā)生器上,謝謝!
2018-08-17 23:26:39

請問怎樣去設(shè)計(jì)掃描信號發(fā)生器?

怎樣去設(shè)計(jì)掃描信號發(fā)生器?掃描信號發(fā)生器中的階梯和鋸齒有什么關(guān)系?
2021-04-20 06:16:11

超經(jīng)典的教程《實(shí)例講解Multisim 10電路仿真

 1.4.3 元器件的連線 1.4.4 文本基本編輯方式 1.4.5 設(shè)置元器件參數(shù)及文件的保存 1.5 Multisim 10虛擬儀器的使用 1.5.1 數(shù)字萬用表 1.5.2 函數(shù)信號發(fā)生器和示波器
2012-11-21 21:47:54

這是一個三角發(fā)生器嗎?

這是個三角發(fā)生器嗎?仿真結(jié)果沒波形啊,跟常用的波形發(fā)生器也不一樣。。。
2016-06-13 15:20:01

仿真)用三極管作為開關(guān)管時高頻矩形失真

如圖,用Multisim仿真時控制信號增加至10kHz時輸出的矩形失真,求問原因。
2019-08-04 21:19:51

序列信號檢測器的設(shè)計(jì)與Multisim仿真

本文設(shè)計(jì)了一個序列信號檢測器并用Multisim 軟件進(jìn)行了仿真,檢測器的輸入信號由序列信號發(fā)生器提供,另外為了觀察的方便,還在電路中附加了數(shù)碼管顯示電路。
2010-01-18 14:04:23124

基于PIC 的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

基于PIC 的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn) 概述:介紹以PIC18F4522I/ P 單片機(jī)作為主控制器,由CPLD、數(shù)模轉(zhuǎn)換電路、整形電路和運(yùn)算放大電路等組成的矩形
2010-04-21 11:19:0045

基于PIC的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

基于PIC的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)摘 要:介紹以PIC18F4522I/ P 單片機(jī)作為主控制器,由CPLD、數(shù)模轉(zhuǎn)換電路、整形電路和運(yùn)算放大電路等組成的矩形
2010-05-05 11:05:3626

基于Multisim 10矩形信號發(fā)生器仿真實(shí)現(xiàn)

Multisim 10軟件環(huán)境下,設(shè)計(jì)一種由運(yùn)算放大器構(gòu)成的精確可控矩形信號發(fā)生器,結(jié)合系統(tǒng)電路原理圖重點(diǎn)闡述了各參數(shù)指標(biāo)的實(shí)現(xiàn)與測試方法。通過改變RC電路的電容充、放電路
2010-11-22 16:01:43436

用繼電器的低頻矩形電流發(fā)生器

用繼電器的低頻矩形電流發(fā)生器
2008-04-30 00:33:35392

簡單的矩形發(fā)生器

簡單的矩形發(fā)生器
2009-03-21 09:14:231692

起振可靠的矩形發(fā)生器

起振可靠的矩形發(fā)生器
2009-03-21 09:21:38332

頻率、脈寬可調(diào)的矩形發(fā)生器

頻率、脈寬可調(diào)的矩形發(fā)生器
2009-03-23 10:13:032654

矩形發(fā)生器的基本電路圖

矩形發(fā)生器的基本電路圖
2009-05-08 13:37:302030

矩形發(fā)生器電路圖

矩形發(fā)生器電路圖
2009-07-01 13:11:49768

Multisim教程:示波器和信號發(fā)生器使用講解(有字幕)#硬聲創(chuàng)作季

Multisim信號發(fā)生器Multisim10
電子學(xué)習(xí)發(fā)布于 2022-10-27 11:33:22

#硬聲創(chuàng)作季 電路與電子技術(shù)實(shí)驗(yàn):Multisim信號發(fā)生器與示波器的使用

電路分析發(fā)生器信號發(fā)生器Multisim10
Mr_haohao發(fā)布于 2022-10-28 11:57:21

矩形波電壓發(fā)生器

矩形波電壓發(fā)生器 采用單緩沖方式,口地址設(shè)為FEFFH.參考程序如下:
2010-02-23 11:27:251665

矩形脈沖發(fā)生器

矩形脈沖發(fā)生器 矩形脈沖發(fā)生器和方波的區(qū)別在于,方波的高電平和低電平所占時間相等(即占空比為50%),而矩形脈沖則不等。
2010-04-20 17:49:063155

出租信號發(fā)生器-E8257D模擬信號發(fā)生器性能介紹#信號發(fā)生器

信號發(fā)生器
安泰儀器維修發(fā)布于 2023-02-03 17:27:42

脈沖序列發(fā)生器電路圖

由運(yùn)放實(shí)現(xiàn)的脈沖序列發(fā)生器,包括定量運(yùn)算和multisim仿真,產(chǎn)生占空比為50%的方波
2015-12-08 17:45:3518

基于DDS的信號發(fā)生器設(shè)計(jì)

基于dds函數(shù)信號發(fā)生器,用單片機(jī)及dds實(shí)現(xiàn)正玄波,三角波,矩形波的產(chǎn)生
2016-01-11 14:55:2119

基于multisim的高低頻信號發(fā)生器的設(shè)計(jì)與仿真

低頻信號發(fā)生器 低頻信號發(fā)生器又稱為音頻信號發(fā)生器,用來產(chǎn)生頻率范圍為1Hz~1MHz的低頻正弦信號、方波信號及其他波形信號。它是一種多功能、寬量程的電子儀器,在低頻電路測試中應(yīng)用比較廣泛,還可
2021-08-27 14:38:54182

基于fpga實(shí)現(xiàn)信號發(fā)生器

本文檔內(nèi)容介紹了基于fpga實(shí)現(xiàn)信號發(fā)生器,供參考
2018-04-20 15:23:3565

基于c語言的信號發(fā)生器程序及仿真

基于c語言的信號發(fā)生器程序及仿真程序說明。
2021-03-18 14:22:1713

函數(shù)發(fā)生器課程設(shè)計(jì)(Multisim仿真+PCB實(shí)物)

模電課程設(shè)計(jì)-函數(shù)發(fā)生Multisim仿真+PCB實(shí)物)
2021-11-06 19:06:00100

Multisim中虛擬函數(shù)信號發(fā)生器的使用

做實(shí)驗(yàn)時,函數(shù)信號發(fā)生器作為信號源使用。本節(jié)介紹虛擬函數(shù)信號發(fā)生器的使用。
2023-05-17 12:31:2612127

multisim中函數(shù)發(fā)生器正負(fù)極怎么接

Multisim中,函數(shù)發(fā)生器是一種可以生成不同類型信號的設(shè)備。它通常由一個波形發(fā)生器和一個放大器組成。波形發(fā)生器用于產(chǎn)生不同類型的波形,例如正弦波、方波、脈沖波等,而放大器用于放大波形信號的幅度
2024-02-23 15:38:32189

multisim14.0函數(shù)發(fā)生器怎么用

Multisim 14.0是一款功能強(qiáng)大的電子電路仿真軟件,其中包含了函數(shù)發(fā)生器。函數(shù)發(fā)生器是用于產(chǎn)生各種波形信號的設(shè)備,可以在電子電路實(shí)驗(yàn)中非常有用。在本文中,我將詳細(xì)介紹Multisim
2024-02-23 16:41:40313

已全部加載完成