電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>今日頭條>萬(wàn)用表小電阻測(cè)試精度的差異

萬(wàn)用表小電阻測(cè)試精度的差異

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

萬(wàn)用表測(cè)電阻的原理是什么 萬(wàn)用表測(cè)電阻的原理是什么

萬(wàn)用表測(cè)電阻的原理是什么? 萬(wàn)用表是一種用來(lái)測(cè)試電路中各種物理量的電子儀表。在電路中,電阻是非常重要的物理量之一,而萬(wàn)用表可以通過(guò)測(cè)量電阻值來(lái)幫助我們了解電路的狀態(tài)和性能。萬(wàn)用表測(cè)電阻的原理主要
2024-02-04 09:58:101037

Agilent 安捷倫U3402A臺(tái)式數(shù)字萬(wàn)用表

安捷倫Agilent U3402A是一款低成本的 5 ? 位雙顯示基礎(chǔ)數(shù)字萬(wàn)用表,U3402A臺(tái)式數(shù)字萬(wàn)用表可提供恰好夠用的測(cè)量和數(shù)學(xué)功能,能夠非??煽康貓?zhí)行基礎(chǔ)測(cè)試。Agilent U3402A
2024-01-20 11:23:26

ADUC7020 PWM調(diào)節(jié)占空比的時(shí),為什么萬(wàn)用表測(cè)試輸出電壓也在變化?

。 2.P.6口配置為PLA輸出時(shí),輸出波形有毛刺,不知有沒(méi)有人也遇到過(guò)。 3.為什么PWM調(diào)節(jié)占空比的時(shí)候,萬(wàn)用表測(cè)試輸出電壓也在變化(0.3V-3.1V),示波器顯示的波形占空比變化的同時(shí)幅度確實(shí)也在變化!
2024-01-12 06:22:42

普通的示波器X10的探頭怎么萬(wàn)用表測(cè)量其好壞?

普通示波器的X10的探頭插在示波器的校準(zhǔn)接線(xiàn)柱上,沒(méi)有一點(diǎn)反應(yīng),相必可能是壞了,請(qǐng)問(wèn)怎么萬(wàn)用表測(cè)量其好壞,有沒(méi)有什么依據(jù)?
2024-01-10 17:21:00

真有效值萬(wàn)用表和平均值萬(wàn)用表的區(qū)別?

最近在看福祿克的官網(wǎng)看到幾款萬(wàn)用表,15B+和17B+,因?yàn)樽约阂彩窃谟眠@兩款萬(wàn)用表,就看了看這兩款萬(wàn)用表的參數(shù),之前一直以為這兩款是真有效值萬(wàn)用表,誰(shuí)知道是均值萬(wàn)用表,不過(guò)的時(shí)候應(yīng)該還是挺準(zhǔn)的,請(qǐng)問(wèn)在測(cè)量變頻器輸出電壓這種PWM波形的時(shí)候,哪種萬(wàn)用表應(yīng)該更準(zhǔn)確一些呢?
2024-01-09 17:27:06

是德科技Keysight 34465A 數(shù)字萬(wàn)用表

Keysight 34465A 數(shù)字萬(wàn)用表(DMM) 提供全方位的測(cè)量功能和多元化的價(jià)位, 擁有測(cè)量精度、速度和分辨率。測(cè)量低功率器件能夠測(cè)量電流,憑借其皮秒級(jí)分辨率和 1 μA 量程可用于測(cè)量功率
2024-01-08 10:26:36

是德科技34461A臺(tái)式數(shù)字萬(wàn)用表

Keysight 34460A & 34461A Truevolt 數(shù)字萬(wàn)用表Truevolt 數(shù)字萬(wàn)用表由創(chuàng)造 Keysight 34401A 的團(tuán)隊(duì)設(shè)計(jì),全球最為暢銷(xiāo)的數(shù)字萬(wàn)用表
2024-01-08 10:17:31

AD8403定位器輸出的電阻可不可以在通電的狀態(tài)下萬(wàn)用表來(lái)測(cè)量?

最近在用AD8403這款數(shù)字電位器,但是遇到了一些問(wèn)題希望得到解決。 1、定位器輸出的電阻可不可以在通電的狀態(tài)下萬(wàn)用表來(lái)測(cè)量。 2、萬(wàn)用表測(cè)量發(fā)現(xiàn)電阻不準(zhǔn),10k的測(cè)量出來(lái)卻只有9.2k而且不穩(wěn)地。 3、線(xiàn)性不是太好,每次步進(jìn)的阻值相差較多
2023-12-25 08:00:20

AD7760EDZ調(diào)試過(guò)程中發(fā)現(xiàn)AVDD1、VDRIVE、DVDD與GND腳萬(wàn)用表測(cè)試時(shí)有短路現(xiàn)象是什么原因?

今年暑假,我中心訂購(gòu)了一套AD7760EDZ核心板。 在調(diào)試過(guò)程中發(fā)現(xiàn)AVDD1、VDRIVE、DVDD三個(gè)2.5V供電腳與GND腳萬(wàn)用表測(cè)試時(shí)有短路現(xiàn)象,測(cè)量電阻為10歐姆 另外用萬(wàn)用表測(cè)量/DRDY腳和GND也有短路現(xiàn)象,上電后不加任何其它器件,RD/WR腳始終為低 請(qǐng)問(wèn)是什么原因?
2023-12-22 08:28:25

AD5761R內(nèi)部參考電壓示波器萬(wàn)用表測(cè)試均為0.728V是為什么?

您好,在使用AD5761R這塊芯片時(shí),設(shè)置控制寄存器為內(nèi)部參考電壓,并且Vref這個(gè)管腳也通過(guò)了10nF電容接地,但是我在使用示波器或者萬(wàn)用表測(cè)試時(shí),該芯片這個(gè)管腳一直輸出的是0.738V,不是
2023-12-20 07:15:52

為什么萬(wàn)用表測(cè)量AD5270的A和W之間的電阻值是負(fù)值?

,SPI的IO口電壓為3.3V,為什么萬(wàn)用表測(cè)量AD5270的A和W之間的電阻值是負(fù)值?現(xiàn)在如果取掉其中一片AD5270,則所有的都會(huì)工作正常,為什么會(huì)這樣?請(qǐng)大神幫我解答一下
2023-12-12 07:51:36

萬(wàn)用表電阻測(cè)試精度差異

萬(wàn)用表電阻測(cè)試精度差異? 萬(wàn)用表是一種常用的電子測(cè)試儀器,它可以測(cè)量電壓、電流和電阻等電學(xué)量。在電子電路設(shè)計(jì)、故障排除和科學(xué)實(shí)驗(yàn)等方面,萬(wàn)用表被廣泛應(yīng)用。其中,小電阻測(cè)試萬(wàn)用表的一項(xiàng)非常重要
2023-12-11 16:44:15347

萬(wàn)用表測(cè)量電滾筒繞組的阻值,會(huì)燒壞線(xiàn)圈嗎?

最近在進(jìn)行現(xiàn)場(chǎng)上電過(guò)程,發(fā)現(xiàn)一些電滾筒電機(jī)的無(wú)法運(yùn)轉(zhuǎn),因此測(cè)量電機(jī)繞組的阻值(萬(wàn)用表)和電源,以確認(rèn)問(wèn)題所在。在反饋給公司之后,公司告訴我們不要輕易測(cè)量電滾筒繞組阻值,否則會(huì)燒壞繞組。 這讓我
2023-12-11 07:20:03

Agilent安捷倫34410A數(shù)字萬(wàn)用表

Agilent安捷倫34410A是一款高性能數(shù)字萬(wàn)用表,可提供高速精確的觸發(fā)功能,可提供滿(mǎn)足現(xiàn)在和未來(lái)需求的多種功能。34410A 同時(shí)也是一款具有雙模顯示及 Keysight(原Agilent
2023-12-07 16:51:55

Keysight是德34461A臺(tái)式數(shù)字萬(wàn)用表

特點(diǎn) 是德科技34461A數(shù)字萬(wàn)用表是一款高精度的電子測(cè)量?jī)x器。它采用了自動(dòng)量程切換、自動(dòng)零點(diǎn)校準(zhǔn)、自動(dòng)數(shù)據(jù)記錄等自動(dòng)化功能,能夠提高測(cè)量效率,減少人為誤差。該萬(wàn)用表具有以下特點(diǎn)
2023-12-06 11:04:33

Fluke 15B MAX經(jīng)濟(jì)型數(shù)字萬(wàn)用表

: Fluke 15B MAX 經(jīng)濟(jì)型數(shù)字萬(wàn)用表Fluke 15B MAX 經(jīng)濟(jì)型數(shù)字萬(wàn)用表是一款支持 Input Alert? 并標(biāo)配特尖表筆的首款經(jīng)濟(jì)型萬(wàn)用表。它的Inp
2023-12-05 15:42:33

Fluke 110真有效值數(shù)字萬(wàn)用表

Fluke 110真有效值數(shù)字萬(wàn)用表的主要特性可精確測(cè)量非線(xiàn)性信號(hào)的真有效值交流電壓電阻與通斷性大尺寸白色 LED 背光燈,可讓您在光線(xiàn)不佳的區(qū)域工作緊湊型真有效值儀表,適用于**電氣安裝和診斷
2023-12-05 15:02:17

Fluke 289真有效值工業(yè)記錄萬(wàn)用表

產(chǎn)品概述: Fluke 289真有效值工業(yè)記錄萬(wàn)用表明察秋毫,防微杜漸。Fluke 289真有效值工業(yè)記錄萬(wàn)用表是一款適合要求很高的用戶(hù)的工業(yè)儀表,盡可能地提高工廠(chǎng)生產(chǎn)效率的新診斷功能
2023-12-01 15:12:49

Fluke 107 掌上數(shù)字萬(wàn)用表

Fluke 107 數(shù)字萬(wàn)用表專(zhuān)為滿(mǎn)足您的工作需要而設(shè)計(jì)!這款數(shù)字萬(wàn)用表經(jīng)專(zhuān)門(mén)設(shè)計(jì),能夠?qū)崿F(xiàn)掌上操作,不受工作場(chǎng)所限制。主要特性設(shè)計(jì)精巧,外觀(guān)時(shí)尚,掌上尺寸設(shè)計(jì),握感舒適,僅重200g,攜帶方便完備
2023-12-01 14:34:33

數(shù)字萬(wàn)用表精確測(cè)量小電阻

如何用現(xiàn)有的數(shù)字萬(wàn)用表精確測(cè)量阻值很小的電阻是工程技術(shù)人員經(jīng)常遇到的問(wèn)題。萬(wàn)用表電阻Rx,電壓測(cè)量端S1、S2通過(guò)短路線(xiàn)接至Hi-Lo端。數(shù)字萬(wàn)用表實(shí)際測(cè)量到的電阻值包括被測(cè)電阻Rx及饋線(xiàn)電阻RL1
2023-11-24 07:37:33

KEYSIGHT是德34470A數(shù)字萬(wàn)用表

KEYSIGHT / AGILENT 34470A 數(shù)字萬(wàn)用表的規(guī)格包括:分辨率位數(shù)7.5基本 DCV 精度16 ppm最大讀取率50,000 讀數(shù)/秒特征:7.5 位臺(tái)式萬(wàn)用表基本 1 年 DVC
2023-11-09 16:19:02

萬(wàn)用表精度不夠,怎么知道ADC模塊測(cè)量結(jié)果準(zhǔn)不準(zhǔn)?

萬(wàn)用表精度不夠,怎么知道ADC模塊測(cè)量結(jié)果準(zhǔn)不準(zhǔn)
2023-11-09 08:07:14

安捷倫3458A萬(wàn)用表34465A

3458A萬(wàn)用表 Agilent3458A 八位半產(chǎn)品名稱(chēng): 萬(wàn)用表 3458A品??牌: Agilent產(chǎn)品型號(hào): 3458A產(chǎn)品指標(biāo): 八位半產(chǎn)品信息: Agilent 3458A數(shù)字萬(wàn)用表
2023-10-17 17:52:17

如何用指針式萬(wàn)用表測(cè)量電壓/電流/電阻?

指針式萬(wàn)用表測(cè)量電壓電流電阻時(shí)的測(cè)量步驟怎么測(cè)量
2023-10-16 07:51:23

請(qǐng)問(wèn)怎么萬(wàn)用表測(cè)量外設(shè)串口是RS232還是TTL?

這個(gè)應(yīng)該怎么萬(wàn)用表測(cè)量電平呢
2023-10-15 08:08:18

請(qǐng)問(wèn)如何用萬(wàn)用表或者其他儀器檢測(cè)出磨掉型號(hào)的芯片?

就是給一堆芯片把型號(hào)磨掉,然后選出cd4511,怎么萬(wàn)用表,或者別的什么儀器測(cè)量出來(lái)。
2023-09-28 06:49:25

ltc2400的可以高精度萬(wàn)用表嗎?

這顆芯片可以做幾位的的萬(wàn)用表
2023-09-27 06:43:40

安捷倫3458A數(shù)字萬(wàn)用表Agilent 3458A

Agilent 3458A是安捷倫數(shù)字萬(wàn)用表的代表,能夠?yàn)檠邪l(fā)實(shí)驗(yàn)室、生產(chǎn)測(cè)試車(chē)間和校準(zhǔn)實(shí)驗(yàn)室提供快速、準(zhǔn)確的測(cè)量。 Keysight 3458A是德科技旗下快速、靈活、精準(zhǔn)的萬(wàn)用表。 無(wú)論是在系統(tǒng)
2023-09-22 17:37:11

采用AT89C52設(shè)計(jì)一個(gè)數(shù)字萬(wàn)用表

本設(shè)計(jì)采用AT89C52為主控芯片配以振蕩電路設(shè)計(jì)設(shè)計(jì)了一個(gè)數(shù)字萬(wàn)用表,可用于測(cè)量直流電壓,直流電流,電阻和電容,并配以檔位轉(zhuǎn)換。本系統(tǒng)使用ADC0832作為數(shù)據(jù)轉(zhuǎn)換芯片,LM358作為放大芯片,通過(guò)LCD1602顯示,各模塊主要通過(guò)AD轉(zhuǎn)換以及電壓放大實(shí)現(xiàn)測(cè)量功能。
2023-09-20 07:59:34

為什么有的萬(wàn)用表點(diǎn)不亮led?

為什么有的萬(wàn)用表點(diǎn)不亮led
2023-09-20 07:37:06

keithley吉時(shí)利DMM7510數(shù)字萬(wàn)用表

KEITHLEY DMM7510 圖形采樣萬(wàn)用表 Keithley DMM7510 結(jié)合了精密數(shù)字萬(wàn)用表、圖形觸摸屏顯示器和高速、高分辨率數(shù)字轉(zhuǎn)換器的所有優(yōu)點(diǎn),創(chuàng)造了業(yè)界第一:圖形采樣
2023-09-19 11:43:50

KEITHLEY2002吉時(shí)利2001數(shù)字萬(wàn)用表

Keithley 2002數(shù)字萬(wàn)用表,8.5 位吉時(shí)利 2002帶 8K 內(nèi)存的 Keithley 2002 8 1/2 位高性能數(shù)字萬(wàn)用表不僅提供通常與價(jià)格數(shù)千美元的儀器相關(guān)的性能規(guī)格,而且還提
2023-09-18 15:25:32

KEITHLEY吉時(shí)利2001數(shù)字萬(wàn)用表

產(chǎn)品概述Keithley 2001 高性能數(shù)字萬(wàn)用表將卓越的精度(0.0018% 基本)、分辨率和靈敏度與數(shù)字萬(wàn)用表中罕見(jiàn)的測(cè)量和數(shù)學(xué)功能結(jié)合在一起。其內(nèi)部峰值檢測(cè)器可以捕獲 1 μs 尖峰,例如
2023-09-18 11:29:31

3458A萬(wàn)用表3458A產(chǎn)品介紹

3458A萬(wàn)用表 Agilent3458A 八位半產(chǎn)品名稱(chēng): 萬(wàn)用表 3458A品??牌: Agilent產(chǎn)品型號(hào): 3458A產(chǎn)品指標(biāo): 八位半產(chǎn)品信息: Agilent 3458A數(shù)字萬(wàn)用表
2023-09-09 16:13:07

安捷倫Agilent 34410A數(shù)字萬(wàn)用表,六位半

34410A是安捷倫一款數(shù)字萬(wàn)用表,能高快速精確的觸發(fā)功能,滿(mǎn)足需求的多種功能。34410A具有雙模顯示及Agilent Open 功能的6 1/2 位臺(tái)式數(shù)字萬(wàn)用表,在5.5 位下每秒能讀
2023-09-07 15:33:09

Agilent 34410A/11A6%數(shù)字萬(wàn)用表

Agilent 34410A 為高性能數(shù)字萬(wàn)用表,精確、高速和精確觸發(fā)設(shè)計(jì),可提供大的通用性。 34410A 是一款 6?位雙顯示萬(wàn)用表,5? 位時(shí)每秒可達(dá) 10,000 個(gè)讀數(shù),帶有數(shù)據(jù)記錄向?qū)?/div>
2023-09-05 11:30:18

安捷倫34410A六位半萬(wàn)用表Agilent34410A臺(tái)式萬(wàn)用表

34411A 是 Agilent 的 6.5 位臺(tái)式萬(wàn)用表萬(wàn)用表是用于測(cè)試和測(cè)量交流 (AC) 或直流 (DC) 電壓、電阻和電流的儀器。萬(wàn)用表結(jié)合了電壓、電流和歐姆。電工使用萬(wàn)用表對(duì)電池
2023-09-05 09:44:12

安捷倫3458A萬(wàn)用表

Agilent / HP 3458A 萬(wàn)用表提供極高的速度、極高的精度、前所未有的靈活性、吞吐量和低擁有成本,使其成為滿(mǎn)足校準(zhǔn)實(shí)驗(yàn)室所有測(cè)量需求的完美選擇和生產(chǎn)車(chē)間。Agilent / HP
2023-09-05 09:33:17

Agilent 34401A安捷倫萬(wàn)用表

Agilent 34401A萬(wàn)用表是一種具有強(qiáng)大測(cè)量功能的工具套件。除了高質(zhì)量的 DMM 所具備的標(biāo)準(zhǔn)功能以外,您還可以從最小/*/平均到內(nèi)置極限測(cè)試 (limit testing) 中獲取其它功能
2023-08-23 14:22:36

Keysight 是德34465A 六位半臺(tái)式數(shù)字萬(wàn)用表

特點(diǎn)34465A 六位半臺(tái)式數(shù)字萬(wàn)用表Keysight 34465A 六位半萬(wàn)用表具有出色的準(zhǔn)確度、速度和分辨率。 它們能夠測(cè)量低至 1 μA 范圍的超小電流,因此適用于功率非常低的器件
2023-08-23 14:10:39

keysight是德(安捷倫)34401A六位半數(shù)字萬(wàn)用表

大輸入電壓,3 A 大輸入電流系統(tǒng)功能1000 個(gè)讀數(shù)/秒存儲(chǔ)器可存儲(chǔ) 512M 讀數(shù)支持 BenchVue。可以用計(jì)算機(jī)控制數(shù)字萬(wàn)用表,以輕松查看和捕獲數(shù)字萬(wàn)用
2023-08-23 11:07:59

安捷倫34401A六位半萬(wàn)用表/Agilent 34401A

安捷倫34401A六位半萬(wàn)用表Agilent 34401AAgilent 34401A工業(yè)準(zhǔn)的34401A為基設(shè)計(jì)而成。它具有更高的精度、更快的測(cè)量速度和否量、LA 和 SB連通性,以及更廣泛的測(cè)量
2023-08-22 17:26:23

KEITHLEY吉時(shí)利DMM7510觸屏高分辨率數(shù)字萬(wàn)用表

 KEITHLEY吉時(shí)利DMM7510觸屏高分辨率數(shù)字萬(wàn)用表DMM7510是吉時(shí)利一款觸屏高精度、高分辨率數(shù)字萬(wàn)用表。也是一款圖形采樣萬(wàn)用表,能測(cè)量超低睡眠模式電流和傳輸無(wú)線(xiàn)設(shè)備的漏電
2023-08-14 10:15:55

安捷倫Agilent 3458A八位半數(shù)字萬(wàn)用表

  3458A是安捷倫數(shù)字萬(wàn)用表的代表,能夠?yàn)檠邪l(fā)實(shí)驗(yàn)室、生產(chǎn)測(cè)試車(chē)間和校準(zhǔn)實(shí)驗(yàn)室提供快速、準(zhǔn)確的測(cè)量。 Keysight 3458A 是是德科技旗下最快、最靈活、最準(zhǔn)確的萬(wàn)用表
2023-08-09 16:46:45

Agilent安捷倫34410A六位半萬(wàn)用表

詳情Keysight Agilent 34410A 萬(wàn)用表,6.5 位Keysight Agilent 34410A 為高性能數(shù)字萬(wàn)用表,精確、高速和精確觸發(fā)設(shè)計(jì),可提供最大的通用性。 34410A
2023-08-09 11:16:58

Agilent安捷倫34410A高性能數(shù)字萬(wàn)用表

Agilent 34410A 6位半臺(tái)式數(shù)字萬(wàn)用表|安捷倫萬(wàn)用表34410A|安捷倫34410A品牌: Agilent(安捷倫) 安捷倫 34410A 是一款高性能數(shù)字萬(wàn)用表,可提供高速
2023-08-04 16:42:00

Keysight是德+34410A 數(shù)字萬(wàn)用表

是德(原安捷倫) 34410A 是一款高性能數(shù)字萬(wàn)用表,可提供高速的觸發(fā)功能,可提供滿(mǎn)足現(xiàn)在和未來(lái)需求的多種功能。34410A 同時(shí)也是一款具有雙模顯示及 Keysight(原Agilent
2023-08-04 14:12:22

萬(wàn)用表怎么測(cè)試LED壓降

萬(wàn)用表
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-07-18 20:06:15

萬(wàn)用表的使用方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-07-07 20:14:27

萬(wàn)用表排除故障

萬(wàn)用表
YS YYDS發(fā)布于 2023-07-07 19:41:09

萬(wàn)用表使用方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-07-07 19:04:46

萬(wàn)用表會(huì)用了嗎

萬(wàn)用表
YS YYDS發(fā)布于 2023-07-02 18:06:48

萬(wàn)用表的使用

萬(wàn)用表
YS YYDS發(fā)布于 2023-06-30 19:42:15

萬(wàn)用表的使用方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-06-28 16:04:58

兩分鐘學(xué)會(huì)萬(wàn)用表

萬(wàn)用表
YS YYDS發(fā)布于 2023-06-27 17:43:07

萬(wàn)用表怎么測(cè)漏電?#萬(wàn)用表#漏電#希望這條視頻能幫助到你

萬(wàn)用表
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-06-26 19:40:37

萬(wàn)用表使用方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-06-23 00:48:59

萬(wàn)用表使用方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-06-20 13:35:51

萬(wàn)用表9V充電電池電壓降到多少伏時(shí)需要充電

請(qǐng)問(wèn)高手,萬(wàn)用表9V充電電池電壓降到多少伏時(shí)需要充電?謝謝
2023-06-11 19:57:10

超簡(jiǎn)單,萬(wàn)用表的使用的方法

萬(wàn)用表
YS YYDS發(fā)布于 2023-05-31 21:09:40

是德科技keysight 34470A 萬(wàn)用表

,可選 GPIB啟用 BenchVue 軟件Keysight 34470A 7? 位高性能 Truevolt 數(shù)字萬(wàn)用表提供更高水平的精度、速度和分辨率??焖佾@得
2023-05-25 16:06:42

[2.1.1]--萬(wàn)用表

萬(wàn)用表
jf_75936199發(fā)布于 2023-05-15 23:48:45

安捷倫Agilent 34405A臺(tái)式數(shù)字萬(wàn)用表

 安捷倫Agilent 34405A臺(tái)式數(shù)字萬(wàn)用表安捷倫新型Agilent 34405A 5.5位臺(tái)式數(shù)字萬(wàn)用表在保留性?xún)r(jià)比的基礎(chǔ)上,提供了更多的性能。臺(tái)式萬(wàn)用表34405A具有廣泛的測(cè)量
2023-04-27 15:43:57

萬(wàn)用表的詳細(xì)使用方法#電工

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-25 16:00:02

萬(wàn)用表測(cè)電阻時(shí)量程越接近越準(zhǔn)確#電工

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-23 12:21:40

教你萬(wàn)用表查找斷點(diǎn)

萬(wàn)用表
YS YYDS發(fā)布于 2023-04-22 19:05:32

KEITHLEY吉時(shí)利2001數(shù)字萬(wàn)用表

  KEITHLEY吉時(shí)利2001數(shù)字萬(wàn)用表 Keithley 2001 提供 7 ? 位分辨率和 0.0018% 的基本 DCV 準(zhǔn)確度,提供卓越的分辨率、準(zhǔn)確度
2023-04-22 10:03:07

安捷倫Agilent34401A數(shù)字萬(wàn)用表

壓、直流電流、交流電流、電阻、電容、電感、頻率等。34401A萬(wàn)用表特點(diǎn):12種測(cè)量功能1000V大輸入15 ppm 基本直流精度(24小時(shí))每秒鐘1000個(gè)讀數(shù)直接至
2023-04-20 17:06:23

Agilent安捷倫34465A萬(wàn)用表

、驅(qū)動(dòng)程序、常見(jiàn)問(wèn)題解答和視頻– 通過(guò)移動(dòng)設(shè)備監(jiān)控工作臺(tái)BenchVue 軟件中的數(shù)字萬(wàn)用表應(yīng)用可以控制數(shù)字萬(wàn)用表顯示測(cè)量結(jié)果,記錄數(shù)據(jù)1和對(duì)捕獲數(shù)據(jù)進(jìn)行注釋 (包含
2023-04-18 13:55:49

Agilent安捷倫34401A臺(tái)式萬(wàn)用表

34401A 是 Agilent 的 6.5 位臺(tái)式萬(wàn)用表。萬(wàn)用表是用于測(cè)試和測(cè)量交流 (AC) 或直流 (DC) 電壓、電阻和電流的儀器。萬(wàn)用表結(jié)合了電壓、電流和歐姆。電工使用萬(wàn)用表對(duì)電池
2023-04-18 11:37:47

你用過(guò)這樣的萬(wàn)用表嗎#電子

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-16 15:17:03

為什么好多師傅選擇紅色萬(wàn)用表#電子

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-16 15:16:02

不用換擋的萬(wàn)用表#電子

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-16 15:15:37

這樣的萬(wàn)用表你用過(guò)嗎#電子

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-15 16:34:03

安捷倫34401A數(shù)字萬(wàn)用表 6.5 位分辨率

Agilent 34401A 是全球最暢銷(xiāo)的臺(tái)式數(shù)字萬(wàn)用表(DMM)。這款工業(yè)標(biāo)準(zhǔn)的萬(wàn)用表,集高分辨率、精度、速度優(yōu)勢(shì)于一體,適用于系統(tǒng)和臺(tái)式測(cè)試,具有優(yōu)異的性能價(jià)格比。主要技術(shù)指標(biāo)測(cè)量功能6.5
2023-04-14 10:18:17

如何將esp32 cam連接到萬(wàn)用表?

我想知道是否有可能以某種方式保持連接 esp32-cam-mb 的微型 USB 以加載模塊,同時(shí)檢查萬(wàn)用表的消耗量(安培)。我嘗試以任何方式連接萬(wàn)用表但失敗了
2023-04-12 06:28:20

萬(wàn)用表測(cè)量結(jié)果有偏差如何校準(zhǔn)?

萬(wàn)用表
未來(lái)加油dz發(fā)布于 2023-04-07 23:12:26

學(xué)習(xí)!福祿克787萬(wàn)用表電阻檔無(wú)法測(cè)這樣修#萬(wàn)用表

電阻萬(wàn)用表
安泰儀器維修發(fā)布于 2023-03-27 16:02:26

已全部加載完成