電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>今日頭條>成都虹威DM3058/E數(shù)字萬用表的功能

成都虹威DM3058/E數(shù)字萬用表的功能

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

Agilent 安捷倫U3402A臺(tái)式數(shù)字萬用表

安捷倫Agilent U3402A是一款低成本的 5 ? 位雙顯示基礎(chǔ)數(shù)字萬用表,U3402A臺(tái)式數(shù)字萬用表可提供恰好夠用的測(cè)量和數(shù)學(xué)功能,能夠非??煽康貓?zhí)行基礎(chǔ)測(cè)試。Agilent U3402A
2024-01-20 11:23:26

普通的示波器X10的探頭怎么萬用表測(cè)量其好壞?

普通示波器的X10的探頭插在示波器的校準(zhǔn)接線柱上,沒有一點(diǎn)反應(yīng),相必可能是壞了,請(qǐng)問怎么萬用表測(cè)量其好壞,有沒有什么依據(jù)?
2024-01-10 17:21:00

真有效值萬用表和平均值萬用表的區(qū)別?

最近在看福祿克的官網(wǎng)看到幾款萬用表,15B+和17B+,因?yàn)樽约阂彩窃谟眠@兩款萬用表,就看了看這兩款萬用表的參數(shù),之前一直以為這兩款是真有效值萬用表,誰知道是均值萬用表,不過的時(shí)候應(yīng)該還是挺準(zhǔn)的,請(qǐng)問在測(cè)量變頻器輸出電壓這種PWM波形的時(shí)候,哪種萬用表應(yīng)該更準(zhǔn)確一些呢?
2024-01-09 17:27:06

是德科技Keysight 34465A 數(shù)字萬用表

Keysight 34465A 數(shù)字萬用表(DMM) 提供全方位的測(cè)量功能和多元化的價(jià)位, 擁有測(cè)量精度、速度和分辨率。測(cè)量低功率器件能夠測(cè)量電流,憑借其皮秒級(jí)分辨率和 1 μA 量程可用于測(cè)量功率
2024-01-08 10:26:36

是德科技34461A臺(tái)式數(shù)字萬用表

Keysight 34460A & 34461A Truevolt 數(shù)字萬用表Truevolt 數(shù)字萬用表由創(chuàng)造 Keysight 34401A 的團(tuán)隊(duì)設(shè)計(jì),全球最為暢銷的數(shù)字萬用表
2024-01-08 10:17:31

AD8403定位器輸出的電阻可不可以在通電的狀態(tài)下萬用表來測(cè)量?

最近在用AD8403這款數(shù)字電位器,但是遇到了一些問題希望得到解決。 1、定位器輸出的電阻可不可以在通電的狀態(tài)下萬用表來測(cè)量。 2、萬用表測(cè)量發(fā)現(xiàn)電阻不準(zhǔn),10k的測(cè)量出來卻只有9.2k而且不穩(wěn)地。 3、線性不是太好,每次步進(jìn)的阻值相差較多
2023-12-25 08:00:20

萬用表測(cè)量電滾筒繞組的阻值,會(huì)燒壞線圈嗎?

最近在進(jìn)行現(xiàn)場(chǎng)上電過程,發(fā)現(xiàn)一些電滾筒電機(jī)的無法運(yùn)轉(zhuǎn),因此測(cè)量電機(jī)繞組的阻值(萬用表)和電源,以確認(rèn)問題所在。在反饋給公司之后,公司告訴我們不要輕易測(cè)量電滾筒繞組阻值,否則會(huì)燒壞繞組。 這讓我
2023-12-11 07:20:03

Agilent安捷倫34410A數(shù)字萬用表

Agilent安捷倫34410A是一款高性能數(shù)字萬用表,可提供高速精確的觸發(fā)功能,可提供滿足現(xiàn)在和未來需求的多種功能。34410A 同時(shí)也是一款具有雙模顯示及 Keysight(原Agilent
2023-12-07 16:51:55

Keysight是德34461A臺(tái)式數(shù)字萬用表

特點(diǎn) 是德科技34461A數(shù)字萬用表是一款高精度的電子測(cè)量儀器。它采用了自動(dòng)量程切換、自動(dòng)零點(diǎn)校準(zhǔn)、自動(dòng)數(shù)據(jù)記錄等自動(dòng)化功能,能夠提高測(cè)量效率,減少人為誤差。該萬用表具有以下特點(diǎn)
2023-12-06 11:04:33

Fluke 15B MAX經(jīng)濟(jì)型數(shù)字萬用表

: Fluke 15B MAX 經(jīng)濟(jì)型數(shù)字萬用表Fluke 15B MAX 經(jīng)濟(jì)型數(shù)字萬用表是一款支持 Input Alert? 并標(biāo)配特尖表筆的首款經(jīng)濟(jì)型萬用表。它的Inp
2023-12-05 15:42:33

Fluke 110真有效值數(shù)字萬用表

 Fluke 110真有效值數(shù)字萬用表的主要產(chǎn)品功能可精確測(cè)量非線性信號(hào)的真有效值交流電壓大尺寸白色 LED 背光燈,可讓您在光線不佳的區(qū)域工作電阻與通斷性
2023-12-05 15:02:17

Fluke 289真有效值工業(yè)記錄萬用表

產(chǎn)品概述: Fluke 289真有效值工業(yè)記錄萬用表明察秋毫,防微杜漸。Fluke 289真有效值工業(yè)記錄萬用表是一款適合要求很高的用戶的工業(yè)儀表,盡可能地提高工廠生產(chǎn)效率的新診斷功能
2023-12-01 15:12:49

Fluke 107 掌上數(shù)字萬用表

Fluke 107 數(shù)字萬用表專為滿足您的工作需要而設(shè)計(jì)!這款數(shù)字萬用表經(jīng)專門設(shè)計(jì),能夠?qū)崿F(xiàn)掌上操作,不受工作場(chǎng)所限制。主要特性設(shè)計(jì)精巧,外觀時(shí)尚,掌上尺寸設(shè)計(jì),握感舒適,僅重200g,攜帶方便完備
2023-12-01 14:34:33

數(shù)字萬用表精確測(cè)量小電阻

如何用現(xiàn)有的數(shù)字萬用表精確測(cè)量阻值很小的電阻是工程技術(shù)人員經(jīng)常遇到的問題。萬用表電阻Rx,電壓測(cè)量端S1、S2通過短路線接至Hi-Lo端。數(shù)字萬用表實(shí)際測(cè)量到的電阻值包括被測(cè)電阻Rx及饋線電阻RL1
2023-11-24 07:37:33

KEYSIGHT是德34470A數(shù)字萬用表

KEYSIGHT / AGILENT 34470A 數(shù)字萬用表的規(guī)格包括:分辨率位數(shù)7.5基本 DCV 精度16 ppm最大讀取率50,000 讀數(shù)/秒特征:7.5 位臺(tái)式萬用表基本 1 年 DVC
2023-11-09 16:19:02

萬用表精度不夠,怎么知道ADC模塊測(cè)量結(jié)果準(zhǔn)不準(zhǔn)?

萬用表精度不夠,怎么知道ADC模塊測(cè)量結(jié)果準(zhǔn)不準(zhǔn)
2023-11-09 08:07:14

安捷倫3458A萬用表34465A

3458A萬用表 Agilent3458A 八位半產(chǎn)品名稱: 萬用表 3458A品??牌: Agilent產(chǎn)品型號(hào): 3458A產(chǎn)品指標(biāo): 八位半產(chǎn)品信息: Agilent 3458A數(shù)字萬用表
2023-10-17 17:52:17

如何用指針式萬用表測(cè)量電壓/電流/電阻?

指針式萬用表測(cè)量電壓電流電阻時(shí)的測(cè)量步驟怎么測(cè)量
2023-10-16 07:51:23

請(qǐng)問怎么萬用表測(cè)量外設(shè)串口是RS232還是TTL?

這個(gè)應(yīng)該怎么萬用表測(cè)量電平呢
2023-10-15 08:08:18

請(qǐng)問如何用萬用表或者其他儀器檢測(cè)出磨掉型號(hào)的芯片?

就是給一堆芯片把型號(hào)磨掉,然后選出cd4511,怎么萬用表,或者別的什么儀器測(cè)量出來。
2023-09-28 06:49:25

arduino做一個(gè)功能強(qiáng)大的一體化萬用表

教你arduino做一個(gè)功能強(qiáng)大的一體化萬用表。附帶資料包含PCB設(shè)計(jì)圖、相關(guān)代碼等。你也可以簡單自己制作!
2023-09-27 07:06:23

ltc2400的可以高精度萬用表嗎?

這顆芯片可以做幾位的的萬用表
2023-09-27 06:43:40

安捷倫3458A數(shù)字萬用表Agilent 3458A

Agilent 3458A是安捷倫數(shù)字萬用表的代表,能夠?yàn)檠邪l(fā)實(shí)驗(yàn)室、生產(chǎn)測(cè)試車間和校準(zhǔn)實(shí)驗(yàn)室提供快速、準(zhǔn)確的測(cè)量。 Keysight 3458A是德科技旗下快速、靈活、精準(zhǔn)的萬用表。 無論是在系統(tǒng)
2023-09-22 17:37:11

采用AT89C52設(shè)計(jì)一個(gè)數(shù)字萬用表

本設(shè)計(jì)采用AT89C52為主控芯片配以振蕩電路設(shè)計(jì)設(shè)計(jì)了一個(gè)數(shù)字萬用表,可用于測(cè)量直流電壓,直流電流,電阻和電容,并配以檔位轉(zhuǎn)換。本系統(tǒng)使用ADC0832作為數(shù)據(jù)轉(zhuǎn)換芯片,LM358作為放大芯片,通過LCD1602顯示,各模塊主要通過AD轉(zhuǎn)換以及電壓放大實(shí)現(xiàn)測(cè)量功能。
2023-09-20 07:59:34

為什么有的萬用表點(diǎn)不亮led?

為什么有的萬用表點(diǎn)不亮led
2023-09-20 07:37:06

keithley吉時(shí)利DMM7510數(shù)字萬用表

萬用表。利用 DMM7510 的電壓或電流數(shù)字功能,捕獲和顯示波形和瞬態(tài)事件變得更加容易。內(nèi)置 1 MS/秒、18 位數(shù)字化儀無需使用單獨(dú)的儀器即可采集波形。數(shù)字
2023-09-19 11:43:50

KEITHLEY2002吉時(shí)利2001數(shù)字萬用表

數(shù)字萬用表通常不具備的廣泛功能。Keithley 2002 的分辨率規(guī)格基于 28 位 A/D 轉(zhuǎn)換器,可提供辨別較小變化所需的分辨率。這種更高的分辨率還提供了更
2023-09-18 15:25:32

KEITHLEY吉時(shí)利2001數(shù)字萬用表

產(chǎn)品概述Keithley 2001 高性能數(shù)字萬用表將卓越的精度(0.0018% 基本)、分辨率和靈敏度與數(shù)字萬用表中罕見的測(cè)量和數(shù)學(xué)功能結(jié)合在一起。其內(nèi)部峰值檢測(cè)器可以捕獲 1 μs 尖峰,例如
2023-09-18 11:29:31

3458A萬用表3458A產(chǎn)品介紹

3458A萬用表 Agilent3458A 八位半產(chǎn)品名稱: 萬用表 3458A品??牌: Agilent產(chǎn)品型號(hào): 3458A產(chǎn)品指標(biāo): 八位半產(chǎn)品信息: Agilent 3458A數(shù)字萬用表
2023-09-09 16:13:07

安捷倫Agilent 34410A數(shù)字萬用表,六位半

34410A是安捷倫一款數(shù)字萬用表,能高快速精確的觸發(fā)功能,滿足需求的多種功能。34410A具有雙模顯示及Agilent Open 功能的6 1/2 位臺(tái)式數(shù)字萬用表,在5.5 位下每秒能讀
2023-09-07 15:33:09

Agilent 34410A/11A6%數(shù)字萬用表

Agilent 34410A 為高性能數(shù)字萬用表,精確、高速和精確觸發(fā)設(shè)計(jì),可提供大的通用性。 34410A 是一款 6?位雙顯示萬用表,5? 位時(shí)每秒可達(dá) 10,000 個(gè)讀數(shù),帶有數(shù)據(jù)記錄向?qū)?/div>
2023-09-05 11:30:18

安捷倫34410A六位半萬用表Agilent34410A臺(tái)式萬用表

34411A 是 Agilent 的 6.5 位臺(tái)式萬用表。萬用表是用于測(cè)試和測(cè)量交流 (AC) 或直流 (DC) 電壓、電阻和電流的儀器。萬用表結(jié)合了電壓、電流和歐姆。電工使用萬用表對(duì)電池
2023-09-05 09:44:12

安捷倫3458A萬用表

Agilent / HP 3458A 萬用表提供極高的速度、極高的精度、前所未有的靈活性、吞吐量和低擁有成本,使其成為滿足校準(zhǔn)實(shí)驗(yàn)室所有測(cè)量需求的完美選擇和生產(chǎn)車間。Agilent / HP
2023-09-05 09:33:17

Agilent 34401A安捷倫萬用表

Agilent 34401A萬用表是一種具有強(qiáng)大測(cè)量功能的工具套件。除了高質(zhì)量的 DMM 所具備的標(biāo)準(zhǔn)功能以外,您還可以從最小/*/平均到內(nèi)置極限測(cè)試 (limit testing) 中獲取其它功能
2023-08-23 14:22:36

Keysight 是德34465A 六位半臺(tái)式數(shù)字萬用表

特點(diǎn)34465A 六位半臺(tái)式數(shù)字萬用表Keysight 34465A 六位半萬用表具有出色的準(zhǔn)確度、速度和分辨率。 它們能夠測(cè)量低至 1 μA 范圍的超小電流,因此適用于功率非常低的器件
2023-08-23 14:10:39

keysight是德(安捷倫)34401A六位半數(shù)字萬用表

大輸入電壓,3 A 大輸入電流系統(tǒng)功能1000 個(gè)讀數(shù)/秒存儲(chǔ)器可存儲(chǔ) 512M 讀數(shù)支持 BenchVue。可以用計(jì)算機(jī)控制數(shù)字萬用表,以輕松查看和捕獲數(shù)字萬用
2023-08-23 11:07:59

安捷倫34401A六位半萬用表/Agilent 34401A

能。34018六位半字萬用表表著是德科技最新一代數(shù)字萬用表技術(shù)。34401A六位半數(shù)字萬用表改進(jìn)了精度擴(kuò)展的測(cè)量功能,極大提高了測(cè)量速度和香吐量,并包括LA和SB
2023-08-22 17:26:23

KEITHLEY吉時(shí)利DMM7510觸屏高分辨率數(shù)字萬用表

 KEITHLEY吉時(shí)利DMM7510觸屏高分辨率數(shù)字萬用表DMM7510是吉時(shí)利一款觸屏高精度、高分辨率數(shù)字萬用表。也是一款圖形采樣萬用表,能測(cè)量超低睡眠模式電流和傳輸無線設(shè)備的漏電
2023-08-14 10:15:55

安捷倫Agilent 3458A八位半數(shù)字萬用表

  3458A是安捷倫數(shù)字萬用表的代表,能夠?yàn)檠邪l(fā)實(shí)驗(yàn)室、生產(chǎn)測(cè)試車間和校準(zhǔn)實(shí)驗(yàn)室提供快速、準(zhǔn)確的測(cè)量。 Keysight 3458A 是是德科技旗下最快、最靈活、最準(zhǔn)確的萬用表
2023-08-09 16:46:45

Keysight是德(原安捷倫)34460A 數(shù)字萬用表

Keysight 34461A (Agilent) 數(shù)字萬用表 (DMM) 是 34401A DMM 的新替代產(chǎn)品。34461A 提供您對(duì)行業(yè)標(biāo)準(zhǔn) 34401A 的所有期望,具有新的顯示功能
2023-08-09 11:54:34

Agilent安捷倫34410A六位半萬用表

詳情Keysight Agilent 34410A 萬用表,6.5 位Keysight Agilent 34410A 為高性能數(shù)字萬用表,精確、高速和精確觸發(fā)設(shè)計(jì),可提供最大的通用性。 34410A
2023-08-09 11:16:58

Agilent安捷倫34410A高性能數(shù)字萬用表

精確的觸發(fā)功能,可提供滿足現(xiàn)在和未來需求的多種功能。34410A 同時(shí)也是一款具有雙模顯示及 Agilent Open 功能的 6 1/2 位臺(tái)式數(shù)字萬用表,在 5
2023-08-04 16:42:00

keysight是德/安捷倫34401A 六位半數(shù)字萬用表

1000 V 大輸入電壓,3 A 大輸入電流系統(tǒng)功能1000 個(gè)讀數(shù)/秒存儲(chǔ)器可存儲(chǔ) 512M 讀數(shù)支持 BenchVue??梢杂糜?jì)算機(jī)控制數(shù)字萬用表,以輕松查看和
2023-08-04 14:15:17

Keysight是德+34410A 數(shù)字萬用表

是德(原安捷倫) 34410A 是一款高性能數(shù)字萬用表,可提供高速的觸發(fā)功能,可提供滿足現(xiàn)在和未來需求的多種功能。34410A 同時(shí)也是一款具有雙模顯示及 Keysight(原Agilent
2023-08-04 14:12:22

Keysight是德/安捷倫34470A臺(tái)式萬用表

,可選 GPIB啟用 BenchVue 軟件Keysight 34470A 7 位高性能 Truevolt 數(shù)字萬用表提供更高水平的精度、速度和分辨率??焖佾@得更多洞
2023-08-01 09:55:58

萬用表怎么測(cè)試LED壓降

萬用表
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-07-18 20:06:15

是不是覺得少個(gè)萬用表??!

萬用表
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-07-18 20:03:25

萬用表的使用方法

萬用表
YS YYDS發(fā)布于 2023-07-07 20:14:27

萬用表排除故障

萬用表
YS YYDS發(fā)布于 2023-07-07 19:41:09

萬用表使用方法

萬用表
YS YYDS發(fā)布于 2023-07-07 19:04:46

萬用表會(huì)用了嗎

萬用表
YS YYDS發(fā)布于 2023-07-02 18:06:48

萬用表的使用

萬用表
YS YYDS發(fā)布于 2023-06-30 19:42:15

萬用表的使用方法

萬用表
YS YYDS發(fā)布于 2023-06-28 16:04:58

兩分鐘學(xué)會(huì)萬用表

萬用表
YS YYDS發(fā)布于 2023-06-27 17:43:07

萬用表怎么測(cè)漏電?#萬用表#漏電#希望這條視頻能幫助到你

萬用表
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-06-26 19:40:37

萬用表使用方法

萬用表
YS YYDS發(fā)布于 2023-06-23 00:48:59

萬用表使用方法

萬用表
YS YYDS發(fā)布于 2023-06-20 13:35:51

萬用表9V充電電池電壓降到多少伏時(shí)需要充電

請(qǐng)問高手,萬用表9V充電電池電壓降到多少伏時(shí)需要充電?謝謝
2023-06-11 19:57:10

超簡單,萬用表的使用的方法

萬用表
YS YYDS發(fā)布于 2023-05-31 21:09:40

電筆萬用表A5全功能操作指南

萬用表
YS YYDS發(fā)布于 2023-05-30 22:10:47

萬用表快速區(qū)分零線、火線、地線的方法

萬用表
YS YYDS發(fā)布于 2023-05-28 12:35:36

是德科技keysight 34470A 萬用表

,可選 GPIB啟用 BenchVue 軟件Keysight 34470A 7? 位高性能 Truevolt 數(shù)字萬用表提供更高水平的精度、速度和分辨率??焖佾@得
2023-05-25 16:06:42

Agilent安捷倫34401A數(shù)字萬用表

和RS-232標(biāo)準(zhǔn)512讀數(shù)存儲(chǔ)器2SCPI標(biāo)準(zhǔn)命令Keysight(原Agilent) 34401A數(shù)字萬用表以異乎尋常的經(jīng)濟(jì)價(jià)位建立了價(jià)格/性能的新標(biāo)準(zhǔn),可以提
2023-05-23 15:19:19

[2.1.1]--萬用表

萬用表
jf_75936199發(fā)布于 2023-05-15 23:48:45

安捷倫Agilent 34405A臺(tái)式數(shù)字萬用表

 安捷倫Agilent 34405A臺(tái)式數(shù)字萬用表安捷倫新型Agilent 34405A 5.5位臺(tái)式數(shù)字萬用表在保留性價(jià)比的基礎(chǔ)上,提供了更多的性能。臺(tái)式萬用表34405A具有廣泛的測(cè)量
2023-04-27 15:43:57

萬用表的隱藏功能你知道嗎#電工

萬用表
未來加油dz發(fā)布于 2023-04-25 16:00:25

萬用表的詳細(xì)使用方法#電工

萬用表
未來加油dz發(fā)布于 2023-04-25 16:00:02

教你萬用表查找斷點(diǎn)

萬用表
YS YYDS發(fā)布于 2023-04-22 19:05:32

KEITHLEY吉時(shí)利2001數(shù)字萬用表

和靈敏度。這款高性能數(shù)字萬用表不僅提供了通常僅與成本高出數(shù)千美元的儀器相關(guān)的性能規(guī)格,而且還提供了數(shù)字萬用表通常不具備的廣泛功能。為了獲得更大的靈活性,后面板上的內(nèi)置
2023-04-22 10:03:07

安捷倫Agilent34401A數(shù)字萬用表

  安捷倫Agilent34401A數(shù)字萬用表安捷倫數(shù)字萬用表34401A是一種高精度的臺(tái)式數(shù)字萬用表,它能夠提供高達(dá)6位半數(shù)的測(cè)量精度和多種測(cè)量功能,包括直流電壓、交流電
2023-04-20 17:06:23

Agilent安捷倫34465A萬用表

、驅(qū)動(dòng)程序、常見問題解答和視頻– 通過移動(dòng)設(shè)備監(jiān)控工作臺(tái)BenchVue 軟件中的數(shù)字萬用表應(yīng)用可以控制數(shù)字萬用表顯示測(cè)量結(jié)果,記錄數(shù)據(jù)1和對(duì)捕獲數(shù)據(jù)進(jìn)行注釋 (包含
2023-04-18 13:55:49

Agilent安捷倫34401A臺(tái)式萬用表

34401A 是 Agilent 的 6.5 位臺(tái)式萬用表。萬用表是用于測(cè)試和測(cè)量交流 (AC) 或直流 (DC) 電壓、電阻和電流的儀器。萬用表結(jié)合了電壓、電流和歐姆。電工使用萬用表對(duì)電池
2023-04-18 11:37:47

數(shù)字萬用表的使用方法超級(jí)簡單#電子

萬用表
未來加油dz發(fā)布于 2023-04-16 15:24:10

數(shù)字萬用表各擋位的作用分享#電子

萬用表
未來加油dz發(fā)布于 2023-04-16 15:23:33

你用過這樣的萬用表嗎#電子

萬用表
未來加油dz發(fā)布于 2023-04-16 15:17:03

為什么好多師傅選擇紅色萬用表#電子

萬用表
未來加油dz發(fā)布于 2023-04-16 15:16:02

不用換擋的萬用表#電子

萬用表
未來加油dz發(fā)布于 2023-04-16 15:15:37

這樣的萬用表你用過嗎#電子

萬用表
未來加油dz發(fā)布于 2023-04-15 16:34:03

安捷倫34401A數(shù)字萬用表 6.5 位分辨率

Agilent 34401A 是全球最暢銷的臺(tái)式數(shù)字萬用表(DMM)。這款工業(yè)標(biāo)準(zhǔn)的萬用表,集高分辨率、精度、速度優(yōu)勢(shì)于一體,適用于系統(tǒng)和臺(tái)式測(cè)試,具有優(yōu)異的性能價(jià)格比。主要技術(shù)指標(biāo)測(cè)量功能6.5
2023-04-14 10:18:17

如何將esp32 cam連接到萬用表?

我想知道是否有可能以某種方式保持連接 esp32-cam-mb 的微型 USB 以加載模塊,同時(shí)檢查萬用表的消耗量(安培)。我嘗試以任何方式連接萬用表但失敗了
2023-04-12 06:28:20

萬用表測(cè)量結(jié)果有偏差如何校準(zhǔn)?

萬用表
未來加油dz發(fā)布于 2023-04-07 23:12:26

學(xué)習(xí)!福祿克787萬用表電阻檔無法測(cè)這樣修#萬用表

電阻萬用表
安泰儀器維修發(fā)布于 2023-03-27 16:02:26

已全部加載完成