電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>今日頭條>臺(tái)積電給力 南京TSMC 16nm量產(chǎn)出貨 客戶是比特大陸

臺(tái)積電給力 南京TSMC 16nm量產(chǎn)出貨 客戶是比特大陸

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

臺(tái)積電沖刺2nm量產(chǎn),2nm先進(jìn)制程決戰(zhàn)2025

電子發(fā)燒友網(wǎng)報(bào)道(文/李寧遠(yuǎn))近日有消息稱,臺(tái)積電將組建2nm任務(wù)團(tuán)沖刺2nm試產(chǎn)及量產(chǎn)。根據(jù)相關(guān)信息,這個(gè)任務(wù)編組同時(shí)編制寶山及高雄廠量產(chǎn)前研發(fā)(RDPC)團(tuán)隊(duì)人員,將成為協(xié)助寶山廠及高雄廠廠務(wù)
2023-08-20 08:32:072089

三星電子澄清:3nm芯片并非更名2nm,下半年將量產(chǎn)

李時(shí)榮聲稱,“客戶對(duì)代工企業(yè)的產(chǎn)品競(jìng)爭(zhēng)力與穩(wěn)定供應(yīng)有嚴(yán)格要求,而4nm工藝已步入成熟良率階段。我們正積極籌備后半年第二代3nm工藝及明年2nm工藝的量產(chǎn),并積極與潛在客戶協(xié)商?!?/div>
2024-03-21 15:51:4385

中國(guó)臺(tái)灣將資助當(dāng)?shù)?b class="flag-6" style="color: red">16nm以下芯片研發(fā) 最高補(bǔ)貼50%

最新消息,中國(guó)臺(tái)灣經(jīng)濟(jì)部門(MOEA)推出了一項(xiàng)針對(duì)16nm及以下芯片研發(fā)的補(bǔ)貼計(jì)劃,旨在支持當(dāng)?shù)仄髽I(yè),幫助中國(guó)臺(tái)灣成為集成電路設(shè)計(jì)的領(lǐng)先者。
2024-03-21 14:19:0079

SK海力士HBM3E內(nèi)存正式量產(chǎn),AI性能提升30倍,成本能耗降低96%

同日,SK海力士宣布啟動(dòng) HBM3E 內(nèi)存的量產(chǎn)工作,并在本月下旬開始供貨。自去年宣布研發(fā)僅過了七個(gè)月。據(jù)稱,該公司成為全球首家量產(chǎn)出貨HBM3E 的廠商,每秒鐘能處理高達(dá) 1.18TB 的數(shù)據(jù)。此項(xiàng)數(shù)據(jù)處理能力足以支持在一小時(shí)內(nèi)處理多達(dá)約 33,800 部全高清電影。
2024-03-19 09:57:44250

Nvidia芯片工藝先進(jìn)封裝演進(jìn)洞察

根據(jù)IRDS的樂觀預(yù)測(cè),未來5年,邏輯器件的制造工藝仍將快速演進(jìn),2025年會(huì)初步實(shí)現(xiàn)Logic器件的3D集成。TSMC和Samsung將在2025年左右開始量產(chǎn)基于GAA (MBCFET)的2nm和3nm制程的產(chǎn)品 [17]。
2024-03-15 09:16:2752

半導(dǎo)體發(fā)展的四個(gè)時(shí)代

大量的協(xié)調(diào)和溝通。需要一種將各個(gè)部分更緊密地結(jié)合在一起以促進(jìn)更好協(xié)作的方法。因此,臺(tái)開發(fā)了開放式創(chuàng)新平臺(tái),或稱OIP。他們很早就開始了這項(xiàng)工作,剛開始這項(xiàng)工作時(shí), 65 nm 還是前沿工藝。今天
2024-03-13 16:52:37

英特爾1nm投產(chǎn)時(shí)間曝光!領(lǐng)先于臺(tái)

英特爾行業(yè)芯事
深圳市浮思特科技有限公司發(fā)布于 2024-02-28 16:28:32

惠科首款I(lǐng)GZO面板量產(chǎn)出貨

2月27日,HKC惠科新型金屬氧化物顯示正式量產(chǎn)出貨品牌客戶,取得了里程碑式的進(jìn)步。
2024-02-28 11:46:11399

2024年日本半導(dǎo)體制造商將新建晶圓制造工廠

在熊本縣菊陽(yáng)町,臺(tái)積電、索尼和日本電裝聯(lián)合開發(fā)了一個(gè)12英寸晶圓加工基地,該基地應(yīng)用12nm、16nm和22nm至28nm技術(shù),預(yù)計(jì)月底建成。此外,其量產(chǎn)時(shí)間已定為2024年第四期。
2024-01-30 09:38:35333

臺(tái)積電日本晶圓廠開幕在即:預(yù)計(jì)2月24日舉行,量產(chǎn)時(shí)間確定

目前,臺(tái)積電已完成與日本的一項(xiàng)聯(lián)合建設(shè)晶圓廠協(xié)議,預(yù)計(jì)在今年2月24日舉行投產(chǎn)慶典。日本的這處晶圓廠使用12nm、16nm、22nm及28nm等先進(jìn)制程工藝,自啟動(dòng)以來進(jìn)展順利,引來業(yè)界廣泛關(guān)注。
2024-01-29 14:00:42178

大茉莉X16-P,5800M大算稱王稱霸

Rykj365發(fā)布于 2024-01-25 14:54:52

臺(tái)1nm制程傳新消息將投資超萬億新臺(tái)幣

行業(yè)芯事行業(yè)資訊
電子發(fā)燒友網(wǎng)官方發(fā)布于 2024-01-22 11:17:47

臺(tái)積電第二代3nm工藝產(chǎn)能頗受客戶歡迎,預(yù)計(jì)今年月產(chǎn)量達(dá)10萬片

據(jù)悉,臺(tái)積電自2022年12月份起開始量產(chǎn)3nm工藝,然而由于成本考量,第一代3納米工藝僅由蘋果使用。其他如聯(lián)發(fā)科、高通等公司則選擇了4nm工藝。
2024-01-05 10:13:06193

阿斯麥:中國(guó)大陸客戶訂單已全數(shù)交付

行業(yè)芯事行業(yè)資訊
北京中科同志科技股份有限公司發(fā)布于 2024-01-04 09:19:27

突發(fā)!出口許可證吊銷!ASML部分設(shè)備不再出貨大陸

。 根據(jù)ASML官網(wǎng)2024年1月1日聲明,荷蘭政府撤銷了NXT:2050i和NXT:2100i的部分出貨許可,影響到少數(shù)大陸客戶。ASML補(bǔ)充,認(rèn)為此事不致影響財(cái)務(wù)前景。 知情人士說,ASML本已取得向大陸出口三臺(tái)頂級(jí)深紫外光微影設(shè)備(DUV)的出口許可,但美國(guó)官員與ASML進(jìn)行
2024-01-03 09:38:18216

臺(tái)積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn)

年開始量產(chǎn)。 根據(jù) SemiAnalysis 的 Dylan Patel 給出的幻燈片,臺(tái)積電的 1.4nm 制程節(jié)點(diǎn)正式名稱為 A14。IT之家注意到,目前臺(tái)積電尚未透露 A14 的量產(chǎn)時(shí)間和具體
2023-12-18 15:13:18191

飛騰派及各種類似派硬件參數(shù)對(duì)比

TB-RK3399Pro Starfive Visionfire 2 Khadas VIM3 芯片型號(hào) 飛騰E2000Q SMIC 14nm 瑞芯微RK3399 TSMC 28nm 瑞芯微RK3399Pro
2023-12-14 23:33:28

今日看點(diǎn)丨臺(tái)積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn);消息稱字節(jié)跳動(dòng)將取消下一代 VR 頭顯

1. 臺(tái)積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn) ? 臺(tái)積電在近日舉辦的 IEEE 國(guó)際電子器件會(huì)議(IEDM)的小組研討會(huì)上透露,其 1.4nm 級(jí)工藝制程研發(fā)已經(jīng)
2023-12-14 11:16:00733

2nm搶單!三星與臺(tái)競(jìng)爭(zhēng)

行業(yè)芯事行業(yè)資訊
深圳市浮思特科技有限公司發(fā)布于 2023-12-13 15:15:49

臺(tái)向蘋果展示2nm工藝iPhone 17 Pro首發(fā)!

洞見分析
電子發(fā)燒友網(wǎng)官方發(fā)布于 2023-12-13 11:41:36

2nm意味著什么?2nm何時(shí)到來?它與3nm有何不同?

3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)在討論2nm了,并且在調(diào)整相關(guān)的時(shí)間表。2nm工藝不僅對(duì)晶圓廠來說是一個(gè)重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在此基礎(chǔ)上設(shè)計(jì)芯片的客戶。
2023-12-06 09:09:55693

臺(tái)積電將宣布日本第二座晶圓廠!

日本正積極與臺(tái)積電等公司合作,幫助其振興本土半導(dǎo)體產(chǎn)業(yè)。目前臺(tái)積電在熊本建廠計(jì)劃,與索尼、日本電裝合資,原計(jì)劃一廠將采用22/28nm制程,隨后推進(jìn)到12/16nm,預(yù)計(jì)2024年底開始量產(chǎn)。2025年開始獲利。
2023-11-22 17:52:19723

詳細(xì)解讀7nm制程,看半導(dǎo)體巨頭如何拼了老命為摩爾定律延壽

Tick-Tock,是Intel的芯片技術(shù)發(fā)展的戰(zhàn)略模式,在半導(dǎo)體工藝和核心架構(gòu)這兩條道路上交替提升。半導(dǎo)體工藝領(lǐng)域也有類似的形式存在,在14nm/16nm節(jié)點(diǎn)之前,半導(dǎo)體工藝在相當(dāng)長(zhǎng)的歷史時(shí)期里有著“整代”和“半代”的差別。
2023-11-16 11:52:25963

汽車取功能有什么作用,能取消嗎?

看的資料里講是機(jī)械能和電能的轉(zhuǎn)化,但還是沒明白具體是什么作用。、機(jī)械取等有什么區(qū)別、作用?
2023-11-10 11:27:01

臺(tái)積電、三星、英特爾等發(fā)布2nm以上制程路線圖

2023~2027年全球晶圓代工成熟制程(28nm及以上)及先進(jìn)制程(16nm及以下)產(chǎn)能比重大約維持在7:3。中國(guó)大陸由于致力推動(dòng)本土化生產(chǎn)等政策與補(bǔ)貼,擴(kuò)產(chǎn)進(jìn)度最為積極,預(yù)估中國(guó)大陸成熟制程產(chǎn)能
2023-11-02 09:58:23304

今日看點(diǎn)丨三星透露:已和大客戶接洽2nm、1.4nm代工服務(wù);廣汽埃安 AION S Max 純電轎車正式上市

1. 三星透露:已和大客戶接洽2nm 、1.4nm 代工服務(wù) ? 三星旗下晶圓代工部門Samsung Foundry首席技術(shù)官Jeong Ki-tae 近日透露,三星盡管成功量產(chǎn)3nm GAA工藝
2023-10-27 11:14:21748

#臺(tái) #冷戰(zhàn) 臺(tái)張忠謀回母校演講稱:應(yīng)避免冷戰(zhàn)

臺(tái)行業(yè)資訊
深圳市浮思特科技有限公司發(fā)布于 2023-10-26 17:17:08

瑞識(shí)科技推動(dòng)紅光VCSEL多領(lǐng)域創(chuàng)新應(yīng)用并量產(chǎn)出貨超千萬顆

案例,將瑞識(shí)科技推向了VCSEL領(lǐng)域創(chuàng)新應(yīng)用領(lǐng)跑者的角色,也為如何開拓VCSEL的應(yīng)用提供了諸多啟發(fā)。 行業(yè)領(lǐng)先 瑞識(shí)高性能紅光VCSEL量產(chǎn)出貨超千萬顆 隨著消費(fèi)電子、汽車電子、數(shù)據(jù)通信等產(chǎn)業(yè)發(fā)展,VCSEL市場(chǎng)規(guī)模正持續(xù)擴(kuò)大。研究機(jī)構(gòu) Yole Group預(yù)測(cè)稱,2027年僅VCSEL芯片市
2023-10-20 13:50:38237

瑞識(shí)科技推動(dòng)紅光VCSEL多領(lǐng)域創(chuàng)新應(yīng)用并量產(chǎn)出貨超千萬顆

案例,將瑞識(shí)科技推向了VCSEL領(lǐng)域創(chuàng)新應(yīng)用領(lǐng)跑者的角色,也為如何開拓VCSEL的應(yīng)用提供了諸多啟發(fā)。 行業(yè)領(lǐng)先 瑞識(shí)高性能紅光VCSEL量產(chǎn)出貨超千萬顆 隨著消費(fèi)電子、汽車電子、數(shù)據(jù)通信等產(chǎn)業(yè)發(fā)展,VCSEL市場(chǎng)規(guī)模正持續(xù)擴(kuò)大。研究機(jī)構(gòu) Yole Group預(yù)測(cè)稱,2027年僅VCSEL芯片市場(chǎng)規(guī)模
2023-10-20 13:21:51593

臺(tái)積電有望2025年量產(chǎn)2nm芯片

? ? ? ?在臺(tái)積電的法人說明會(huì)上據(jù)臺(tái)積電總裁魏哲家透露臺(tái)積電有望2025年量產(chǎn)2nm芯片。 目前,臺(tái)積電已經(jīng)開始量產(chǎn)3nm工藝; 臺(tái)灣新竹寶山、高雄兩座工廠的2nm芯片計(jì)劃2024年試產(chǎn)
2023-10-20 12:06:23930

2nm芯片什么時(shí)候出 2nm芯片手機(jī)有哪些

2nm芯片什么時(shí)候出 2nm芯片什么時(shí)候出這個(gè)問題目前沒有相關(guān)官方的報(bào)道,因此無法給出準(zhǔn)確的回答。根據(jù)網(wǎng)上的一些消息臺(tái)積電于6月16日在2022年度北美技術(shù)論壇上首次宣布,將推出下一代先進(jìn)工藝制程
2023-10-19 17:06:18799

2nm芯片是什么意思 2nm芯片什么時(shí)候量產(chǎn)

可以容納更多的晶體管在同樣的芯片面積上,從而提供更高的集成度和處理能力。此外,較小的節(jié)點(diǎn)尺寸還可以降低電路的功耗,提供更高的能效??梢哉f,2nm芯片代表了制程工藝的最新進(jìn)展和技術(shù)創(chuàng)新。 2nm芯片什么時(shí)候量產(chǎn) 2nm芯片什么時(shí)候量產(chǎn)
2023-10-19 16:59:161958

格科微:3200萬像素圖像傳感器產(chǎn)品量產(chǎn)出貨

近日,格科微3200萬像素圖像傳感器已實(shí)現(xiàn)量產(chǎn)出貨。
2023-10-19 14:20:34418

江波龍:eSSD、RDIMM產(chǎn)品部分訂單已實(shí)現(xiàn)量產(chǎn)出貨

 目前,江波龍eSSD、RDIMM產(chǎn)品已通過聯(lián)想、京東云、BiliBili等主要客戶的認(rèn)證,并已接受部分客戶的正式訂單,在數(shù)量上生產(chǎn)產(chǎn)品。江波龍eSSD、RDIMM產(chǎn)品的數(shù)量計(jì)算公司將在企業(yè)級(jí)倉(cāng)儲(chǔ)這一核心市場(chǎng)取得新進(jìn)展,為公司業(yè)績(jī)的增長(zhǎng)帶來新的增加。
2023-10-16 14:25:19578

今日看點(diǎn)丨首次采用EUV技術(shù)!英特爾宣布Intel 4已大規(guī)模量產(chǎn);佳能開始銷售 5nm 芯片生產(chǎn)設(shè)備

1. 傳蘋果確認(rèn)iPhone 16 系列將采用臺(tái)積電第二代3nm 工藝N3E ? 蘋果近日確認(rèn)iPhone 16系列將采用臺(tái)積電第二代3nm工藝N3E。據(jù)悉,蘋果在 iPhone 15 Pro
2023-10-16 10:57:46507

三星3nm GAA完整晶圓遭遇難產(chǎn),良率僅50%

三星向中國(guó)客戶提供了第一個(gè)3nm gaa,但新的報(bào)告顯示,這些芯片的實(shí)際形態(tài)并不完整,缺乏邏輯芯片的sram。據(jù)悉,由于很難生產(chǎn)出完整的3納米gaa晶片,因此三星轉(zhuǎn)包工廠的收益率只有臺(tái)灣產(chǎn)產(chǎn)的50%。3nm gaa雖然比finfet優(yōu)秀,但在生產(chǎn)效率上存在問題。
2023-10-12 10:10:20475

AD9084: 阿波羅MxFE Quad, 16比特, 28 普普惠戰(zhàn)略(普惠戰(zhàn)略)RF 發(fā)援會(huì)和夸德, 12比特, 20 普惠戰(zhàn)略(普惠戰(zhàn)略)RF ADC初步數(shù)據(jù)表 ADI

電子發(fā)燒友網(wǎng)為你提供ADI(ADI)AD9084: 阿波羅MxFE Quad, 16比特, 28 普普惠戰(zhàn)略(普惠戰(zhàn)略)RF 發(fā)援會(huì)和夸德, 12比特, 20 普惠戰(zhàn)略(普惠戰(zhàn)略)RF ADC初步
2023-10-09 18:45:52

高通或成為臺(tái)積電3nm制程的第三家客戶

蘋果已經(jīng)發(fā)布了基于臺(tái)積電3nm制程的A17 Pro處理器。最近,有消息稱,高通的下一代5G旗艦芯片也將采用臺(tái)積電3nm制程,并預(yù)計(jì)會(huì)在10月下旬公布,成為臺(tái)積電3nm制程的第三個(gè)客戶,可能是高通驍龍8 Gen3。
2023-09-26 16:51:311406

臺(tái)積電3nm月產(chǎn)能明年將增至10萬片

臺(tái)積電推出了世界上第一個(gè)3nm智能手機(jī)芯片apple a17 pro,該芯片也用于新款iphone 15 pro。據(jù)悉,tsmc到2023年為止,將只批量生產(chǎn)蘋果的3nm工藝。
2023-09-25 14:25:28616

ESP32技術(shù)規(guī)格書

ESP32 是集成 2.4 GHz Wi-Fi 和藍(lán)牙雙模的單芯片方案,采用臺(tái) (TSMC) 低功耗 40 納米工藝,具有超高的射頻性能、穩(wěn)定性、通用性和可靠性,以及超低的功耗,滿足不同的功耗需求,適用于各種應(yīng)用場(chǎng)景。
2023-09-18 09:03:17

首款國(guó)產(chǎn)車規(guī)7nm芯片量產(chǎn)上車

?首搭國(guó)內(nèi)首款自研車規(guī)級(jí)7nm量產(chǎn)芯片“龍鷹一號(hào)”,魅族車機(jī)系統(tǒng)首發(fā)上車。
2023-09-14 16:12:30484

芯高頻振動(dòng)試驗(yàn)臺(tái)

艾思荔芯高頻振動(dòng)試驗(yàn)臺(tái)利用緩沖可變裝置,可產(chǎn)生廣范的任意作用時(shí)間之半正弦波脈沖; 可作包裝箱的等效落下實(shí)驗(yàn); 試驗(yàn)條件的設(shè)定與自動(dòng)控制都是利用電腦與控制裝置操作; 具有防止二次沖擊制動(dòng)機(jī)構(gòu),試驗(yàn)
2023-09-08 17:11:08

請(qǐng)問哪位有NM1820的調(diào)驅(qū)動(dòng)方案的代碼工程文件?

請(qǐng)問哪位有NM1820的調(diào)驅(qū)動(dòng)方案的代碼工程文件,能分享一下嗎?謝謝,最好是有代碼的解釋哈。
2023-09-06 08:04:17

Ansys為英特爾16nm工藝節(jié)點(diǎn)的簽核驗(yàn)證提供支持

Ansys多物理場(chǎng)平臺(tái)支持英特爾16nm工藝的全新射頻功能和其他先進(jìn)特性,能夠通過與芯片相關(guān)的預(yù)測(cè)準(zhǔn)確性來加速完成設(shè)計(jì)并提高性能
2023-08-15 09:27:50310

三星3nm GAA正式商業(yè)量產(chǎn)

一篇拆解報(bào)告,稱比特微電子的Whatsminer M56S++礦機(jī)所用的AISC芯片采用的是三星3nm GAA制程工藝。這一發(fā)現(xiàn)證實(shí)了三星3nm GAA技術(shù)的商業(yè)化應(yīng)用。
2023-07-21 16:03:571012

三星3nm GAA商業(yè)量產(chǎn)已經(jīng)開始,首個(gè)客戶是中國(guó)礦機(jī)芯片公司

三星3nm GAA商業(yè)量產(chǎn)已經(jīng)開始。
2023-07-20 11:20:001124

ASML:對(duì)中國(guó)大陸***客戶供不應(yīng)求有些訂單排到2024年

行業(yè)芯事
電子發(fā)燒友網(wǎng)官方發(fā)布于 2023-07-20 11:10:33

接收大量國(guó)內(nèi)7nm芯片訂單,臺(tái)積電突然變了?

根據(jù)臺(tái)積電發(fā)布的消息可知,其接收大量來自國(guó)內(nèi)的7nm芯片訂單,主要是AI等芯片訂單,中興微電子已成為臺(tái)積電在大陸市場(chǎng)的前三大客戶之一。情況發(fā)生這樣的變化,可能是因?yàn)橐韵聨c(diǎn)。
2023-07-18 14:30:141088

英特爾全新16nm制程工藝有何優(yōu)勢(shì)

英特爾獨(dú)立運(yùn)作代工部門IFS后,將向三方開放芯片制造加工服務(wù),可能是為了吸引客戶,英特爾日前發(fā)布了全新的16nm制程工藝。
2023-07-15 11:32:58757

臺(tái)積電或?qū)@得大陸AI芯片訂單,包含中興微電子

,至少有數(shù)十家公司正在繼續(xù)研發(fā)ERP。其中阿里平頭哥和中興微電子自年一季度以來就擴(kuò)大了對(duì)xjmokehi5ul臺(tái)積電 7nm 芯片的訂單。 此外,中興微電子在2023年一季度投片規(guī)模翻倍,二季度再次實(shí)現(xiàn)翻倍,已成為臺(tái)積電在大陸市場(chǎng)的前三大客戶之一,也是整體 HPC 平臺(tái)
2023-07-11 12:47:36824

Cadence發(fā)布面向TSMC 3nm 工藝的 112G-ELR SerDes IP 展示

3nm 時(shí)代來臨了!Cadence 在 2023 年 TSMC 北美技術(shù)研討會(huì)期間發(fā)布了面向臺(tái)積電 3nm 工藝(N3E)的 112G 超長(zhǎng)距離(112G-ELR)SerDes IP 展示,這是 Cadence 112G-ELR SerDes IP 系列產(chǎn)品的新成員。
2023-07-10 09:26:20406

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_數(shù)據(jù)表(Z-2):GPIO for TSMC 40nm N40EF2

IP_數(shù)據(jù)表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_數(shù)據(jù)表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_數(shù)據(jù)表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_數(shù)據(jù)表(I-9):USB2.0 Transceiver for TSMC 40nm LP

IP_數(shù)據(jù)表(I-9):USB2.0 Transceiver for TSMC 40nm LP
2023-07-06 20:12:510

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP 數(shù)據(jù)表: 3.0V Standard Cell for TSMC 40nm LP

IP 數(shù)據(jù)表: 3.0V Standard Cell for TSMC 40nm LP
2023-07-05 19:47:260

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_數(shù)據(jù)表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP

IP_數(shù)據(jù)表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP
2023-07-05 19:45:561

IP_數(shù)據(jù)表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_數(shù)據(jù)表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

今日看點(diǎn)丨臺(tái)積電:不排除在日本生產(chǎn)先進(jìn)芯片 2nm研發(fā)順利;電科裝備實(shí)現(xiàn)離子注入裝備28納米工藝制程全覆

示日本工廠將以日本客戶為中心,預(yù)計(jì)將有持續(xù)且旺盛的需求。據(jù)此前消息,該工廠規(guī)劃生產(chǎn)22/28nm以及12/16nm芯片,月產(chǎn)能目標(biāo)為5.5萬片晶圓。臺(tái)積電在發(fā)布會(huì)上強(qiáng)調(diào),2nm制程工藝(N2)研發(fā)順利,能夠按照此前目標(biāo)于2025年量產(chǎn)。此外,張曉強(qiáng)還表示,256M
2023-07-03 10:49:13731

三星電子2nm制程工藝計(jì)劃2025年量產(chǎn) 2027年開始用于代工汽車芯片

外媒在報(bào)道中提到,根據(jù)公布的計(jì)劃,三星電子將在2025年開始,采用2nm制程工藝量產(chǎn)移動(dòng)設(shè)備應(yīng)用所需的芯片,2026年開始量產(chǎn)高性能計(jì)算設(shè)備的芯片,2027年則是利用2nm制程工藝開始量產(chǎn)汽車所需的芯片。
2023-06-30 16:55:07458

回顧下功耗的定義及其組成部分并總結(jié)降低功耗的常用方案

隨著工藝節(jié)點(diǎn)的不斷發(fā)展(現(xiàn)在普遍是28nm,22nm,16nm,14nm,甚至有的都在做7nm),芯片的性能需求越來越高,規(guī)模也越來越大
2023-06-29 15:24:111741

M16C/6N群(M16C/6NK、M16C/6NM)硬件手冊(cè)

M16C/6N群(M16C/6NK、M16C/6NM)硬件手冊(cè)
2023-06-27 18:46:410

M16C/6N 組(M16C/6NK、M16C/6NM)數(shù)據(jù)表

M16C/6N 組(M16C/6NK、M16C/6NM)數(shù)據(jù)表
2023-06-26 19:49:080

求分享NM1200和NM1330詳細(xì)的數(shù)據(jù)手冊(cè)

跪求新唐NM1200和NM1330詳細(xì)的數(shù)據(jù)手冊(cè)
2023-06-15 08:57:31

MakeSens手勢(shì)識(shí)別技術(shù)與算法詳解

該芯片基于40nm工藝,將會(huì)在今年二季度小規(guī)模量產(chǎn),2023年三季度客戶導(dǎo)入,2024年二季度規(guī)模出貨。
2023-06-05 14:38:18291

RISC-V軟件生態(tài)計(jì)劃“RISE”啟動(dòng),平頭哥成中國(guó)大陸唯一董事會(huì)成員

董事會(huì)中唯一的中國(guó)大陸芯片公司。RISE創(chuàng)始董事會(huì)13名成員此前,平頭哥已基本完成RISC-V與國(guó)際主流操作系統(tǒng)的全適配:率先在玄鐵RISC-V處理器上成功運(yùn)行安卓12,成果貢獻(xiàn)于AOSP開源體系;推動(dòng)
2023-06-02 15:29:02

Cadence 發(fā)布面向 TSMC 3nm 工藝的 112G-ELR SerDes IP 展示

3nm 時(shí)代來臨了!Cadence 在 2023 年 TSMC 北美技術(shù)研討會(huì)期間發(fā)布了面向臺(tái)積電 3nm 工藝(N3E)的 112G 超長(zhǎng)距離(112G-ELR)SerDes IP 展示,這是
2023-05-19 16:25:12784

Cadence發(fā)布面向TSMC 3nm工藝的112G-ELR SerDes IP展示

3nm 時(shí)代來臨了!Cadence 在 2023 年 TSMC 北美技術(shù)研討會(huì)期間發(fā)布了面向臺(tái)積電 3nm 工藝(N3E)的 112G 超長(zhǎng)距離(112G-ELR)SerDes IP 展示,這是 Cadence 112G-ELR SerDes IP 系列產(chǎn)品的新成員。
2023-05-19 15:23:07675

MLCC龍頭漲價(jià);車廠砍單芯片;臺(tái)28nm設(shè)備訂單全部取消!

需求變化,臺(tái)28nm設(shè)備訂單全部取消! 對(duì)于這一消息,臺(tái)方面表示,相關(guān)制程技術(shù)與時(shí)間表依客戶需求及市場(chǎng)動(dòng)向而定,目前正處法說會(huì)前緘默期,不便多做評(píng)論,將于法說會(huì)說明。 目前28nm工藝代工市場(chǎng)
2023-05-10 10:54:09

505nm、785nm、808nm、940nm激光二極管TO56 封裝、 500mW 100mw

808nm 激光二極管 TO56封裝 500mW XL-808TO56-ZSP-500 、XL-TO18-785-120、XL-9402TO5-ZS-1W、XL-505TO56-ZSP-100
2023-05-09 11:23:07

M16C/6N群(M16C/6NK、M16C/6NM)硬件手冊(cè)

M16C/6N群(M16C/6NK、M16C/6NM)硬件手冊(cè)
2023-05-08 19:05:530

芯片行業(yè),何時(shí)走出至暗時(shí)刻?

晶圓銷售金額的31%;7納米制程出貨占全季晶圓銷售金額的20%。臺(tái)電表示,總體而言先進(jìn)制程的營(yíng)收達(dá)到全季晶圓銷售金額的51%。 臺(tái)CEO魏哲家表示,3nm制程已預(yù)定下半年放量,目前已經(jīng)看到未來
2023-05-06 18:31:29

M16C/6N 組(M16C/6NK、M16C/6NM)數(shù)據(jù)表

M16C/6N 組(M16C/6NK、M16C/6NM)數(shù)據(jù)表
2023-05-05 19:56:470

M16C/6N群(M16C/6NK、M16C6NM)硬件手冊(cè)

M16C/6N群(M16C/6NK、M16C6NM)硬件手冊(cè)
2023-05-04 19:22:550

E8 Emulator Additional Document for 用戶手冊(cè)(M16C/62P M16C/6N4 M16C/6N5 M16C/6NK M16C/6NM M16C/6NL and M16C/6NN連接注意事項(xiàng))

E8 Emulator Additional Document for 用戶手冊(cè) (M16C/62P M16C/6N4 M16C/6N5 M16C/6NK M16C/6NM M16C/6NL and M16C/6NN連接注意事項(xiàng))
2023-04-28 19:49:350

2023年最強(qiáng)半導(dǎo)體品牌Top 10!第一名太強(qiáng)大了!

最強(qiáng)品牌排名中,臺(tái)電位列第一。 Brand Finance通過計(jì)算品牌價(jià)值,以及透過市場(chǎng)環(huán)境、股東權(quán)益、商業(yè)表現(xiàn)等諸多指標(biāo),評(píng)估品牌的相對(duì)強(qiáng)度。最終,臺(tái)以品牌分?jǐn)?shù)78.9分的最高分,成為半導(dǎo)體
2023-04-27 10:09:27

E8a仿真器用戶手冊(cè)附加文檔連接M16C/62P、M16C/6N4、M16C/6N5、M16C/6NK、M16C/6NM、M16C/6NL和M16C/6NN時(shí)的注意事項(xiàng)

E8a仿真器用戶手冊(cè)附加文檔連接M16C/62P、M16C/6N4、M16C/6N5、M16C/6NK、M16C/6NM、M16C/6NL和M16C/6NN時(shí)的注意事項(xiàng)
2023-04-26 20:32:440

E8a Emulator Additional Document for 用戶手冊(cè)(Notes on Connecting M16C/62P M16C/6N4 M16C/6N5 M16C/6NK M16C/6NM M16C/6NL and M16C/6NN)

E8a Emulator Additional Document for 用戶手冊(cè) (Notes on Connecting M16C/62P M16C/6N4 M16C/6N5 M16C/6NK M16C/6NM M16C/6NL and M16C/6NN)
2023-04-19 19:11:490

先進(jìn)制程工藝止步14nm制程的原因有哪些?

臺(tái)積電的16nm有多個(gè)版本,包括16nm FinFET、16nm FinFET Plus技術(shù)(16FF +)和16nm FinFET Compact技術(shù)(16FFC)。
2023-04-14 10:58:15636

兆易創(chuàng)新全系列車規(guī)級(jí)存儲(chǔ)產(chǎn)品累計(jì)出貨1億顆

全球累計(jì)出貨量已達(dá)1億顆,廣泛運(yùn)用在如智能座艙、智能駕駛、智能網(wǎng)聯(lián)、新能源電動(dòng)車大小三系統(tǒng)等,這一重要里程碑凸顯了兆易創(chuàng)新與國(guó)內(nèi)外主流車廠及Tier1供應(yīng)商的密切合作關(guān)系。兆易創(chuàng)新致力于為汽車領(lǐng)域客戶
2023-04-13 15:18:46

臺(tái)劉德音:美國(guó)這些條件,臺(tái)不能接受#臺(tái)

時(shí)事熱點(diǎn)行業(yè)資訊
電子發(fā)燒友網(wǎng)官方發(fā)布于 2023-03-31 17:19:04

導(dǎo)熱結(jié)構(gòu)膠獲得儲(chǔ)能客戶認(rèn)可,將在2023年正式量產(chǎn)10噸

15年行業(yè)經(jīng)驗(yàn)分享 導(dǎo)熱結(jié)構(gòu)膠獲得儲(chǔ)能客戶認(rèn)可,將在2023年正式量產(chǎn)10噸
2023-03-31 15:27:53854

Chiplet無法規(guī)?;涞氐闹饕夹g(shù)難點(diǎn)

隨著 AI、數(shù)字經(jīng)濟(jì)等應(yīng)用場(chǎng)景的爆發(fā),對(duì)算力的需求更加旺盛, 芯片的性能要求也在不斷提高,業(yè)界芯片的制造工藝從 28nm 向 7nm 以 下發(fā)展,TSMC 甚至已經(jīng)有了 2nm 芯片的風(fēng)險(xiǎn)量產(chǎn)規(guī)劃。
2023-03-28 13:48:15892

本周五|從6nm16nm,毫米波IC設(shè)計(jì)如何一“波”搞定?

? ? ? ? 原文標(biāo)題:本周五|從6nm16nm,毫米波IC設(shè)計(jì)如何一“波”搞定? 文章出處:【微信公眾號(hào):新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。
2023-03-27 22:50:02469

#硬聲創(chuàng)作季 #臺(tái) 三倍薪水!傳臺(tái)和聯(lián)瘋狂挖角

時(shí)事熱點(diǎn)行業(yè)資訊
電子發(fā)燒友網(wǎng)官方發(fā)布于 2023-03-27 16:52:21

比特大陸BM1684 八核高性能17.6T@INT8高解碼能力開發(fā)板

2023-03-24 15:00:32

已全部加載完成