電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>今日頭條>阿爾法:搬磚套利之六年幣圈經(jīng)驗(yàn)大咖手把手教您如何躺賺

阿爾法:搬磚套利之六年幣圈經(jīng)驗(yàn)大咖手把手教您如何躺賺

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

無(wú)刷電機(jī)無(wú)感FOC控制培訓(xùn)系列課程

| 本工作室推出電機(jī)控制無(wú)感foc電機(jī)控制系列培訓(xùn)課程本課程主要讓想進(jìn)階的算法工程師,和剛參加工作的工程師或者在校學(xué)生能夠進(jìn)一步提高自己的技能,1.從企業(yè)用人角度手把手教你做電機(jī)控制,提高你的個(gè)人
2024-03-10 13:52:40

UVM手把手教程系列(二)Phase機(jī)制簡(jiǎn)單介紹

UVM中的phase,按照其是否消耗仿真時(shí)間($time打印出的時(shí)間)的特性,可以分成兩大類
2024-02-29 09:26:11355

【先楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】(原創(chuàng))6.手把手實(shí)戰(zhàn)紅外線傳感器源代碼

楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】(原創(chuàng))5.手把手實(shí)戰(zhàn)AI機(jī)械臂 我在本論壇內(nèi)的試讀經(jīng)驗(yàn) : 《電子工程師必備——九大系統(tǒng)電路識(shí)圖寶典》+附錄2化整為零和集零為整電路分析方法 《運(yùn)算放大器參數(shù)
2024-02-09 15:08:26

【先楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】(原創(chuàng))5.手把手實(shí)戰(zhàn)AI機(jī)械臂

試用體驗(yàn)】4手把手實(shí)戰(zhàn)EXIP在線解密引擎 我在本論壇內(nèi)的試讀經(jīng)驗(yàn) : 《電子工程師必備——九大系統(tǒng)電路識(shí)圖寶典》+附錄2化整為零和集零為整電路分析方法 《運(yùn)算放大器參數(shù)解析與LTspice應(yīng)用仿真
2024-02-06 10:28:43

【國(guó)產(chǎn)FPGA+OMAPL138開(kāi)發(fā)板體驗(yàn)】(原創(chuàng))3.手把手玩轉(zhuǎn)ARM與FPGA通信

)2.手把手玩轉(zhuǎn)游戲機(jī)械臂 我在本論壇內(nèi)的試讀經(jīng)驗(yàn) : 《電子工程師必備——九大系統(tǒng)電路識(shí)圖寶典》+附錄2化整為零和集零為整電路分析方法 《運(yùn)算放大器參數(shù)解析與LTspice應(yīng)用仿真》+學(xué)習(xí)心得4第三章
2024-02-03 20:48:22

【飛騰派4G版免費(fèi)試用】4.手把手玩轉(zhuǎn)QT界面設(shè)計(jì)

試用】2.手把手實(shí)戰(zhàn)編譯Linux內(nèi)核 【飛騰派4G版免費(fèi)試用】3.手把手玩轉(zhuǎn)制作rootfs根文件系統(tǒng) 我在本論壇內(nèi)的試讀經(jīng)驗(yàn) : 《電子工程師必備——九大系統(tǒng)電路識(shí)圖寶典》+附錄2化整為零和集零為整
2024-01-27 12:49:23

【先楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】4手把手實(shí)戰(zhàn)EXIP在線解密引擎

接上三篇: 【先楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】1上手HPM5361 - 先楫半導(dǎo)體HPMicro 【先楫HPM5361EVK開(kāi)發(fā)板試用體驗(yàn)】2手把手實(shí)戰(zhàn)密鑰管理器 KEYM 【先楫
2024-01-26 11:08:30

【年度精選】2023度top5榜單——電機(jī)控制經(jīng)驗(yàn)

、手把手教你MATLAB,Sumlink代碼生成。無(wú)感FOC算法從零到生成代碼的過(guò)程。附上MATLAB代碼生成仿真模型。 作者:老杰 閱讀量:3278 推薦理由: 本教程通過(guò)手把手的方式教你
2024-01-12 10:09:27

【飛騰派4G版免費(fèi)試用】3.手把手玩轉(zhuǎn)制作rootfs根文件系統(tǒng)

接上兩篇:【飛騰派4G版免費(fèi)試用】1.實(shí)戰(zhàn)交叉編譯環(huán)境搭建和手把手uboot編譯 【飛騰派4G版免費(fèi)試用】2.手把手實(shí)戰(zhàn)編譯Linux內(nèi)核 嗨,親愛(ài)的工程師、學(xué)生和愛(ài)好者們,我來(lái)啦!今天我要帶
2024-01-09 10:49:37

【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(五)手把手玩轉(zhuǎn)U-Boot控制CPU管腳

接上四篇: 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(一)手把手配置Yocto 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(二)配置U-Boot步驟實(shí)戰(zhàn) 【米爾
2024-01-08 10:02:15

手把手教你制作DAPLink

這篇文章主要描述利用RT-THREAD+CherryUSB制作DapLink調(diào)試器(R_DapLink)全流程。這里先感謝網(wǎng)友:sakumisu提供cherryUSB協(xié)議棧的技術(shù)支持。 什么是下載調(diào)試器簡(jiǎn)單來(lái)說(shuō),下載調(diào)試器是將PC(例如通過(guò)USB協(xié)議)發(fā)送的命令轉(zhuǎn)換為MCU(負(fù)責(zé)MCU內(nèi)部外圍設(shè)備)理解的語(yǔ)言(例如SWD或JTAG協(xié)議)的設(shè)備,加載代碼并精確控制執(zhí)行。 什么是標(biāo)準(zhǔn)簡(jiǎn)單來(lái)說(shuō),標(biāo)準(zhǔn)是一組規(guī)則和協(xié)議,特定行業(yè)中的每個(gè)參與者都同意遵循并執(zhí)行。符合某種內(nèi)核的單片機(jī)Q,都可以使用這種協(xié)議來(lái)下載程
2023-12-26 08:35:51521

PCB上怎么畫GND?手把手教你畫“GND”

不要跨步“GND” 還有一些受制于結(jié)構(gòu)導(dǎo)致的,某一個(gè)模塊本應(yīng)完整的GND,被其他走線分割成多個(gè)區(qū)域的跨步GND。例如下圖的PCB電路所示,電源輸入的負(fù)極接上PCB板后直接變成“GND”也就是①位置,往電源模塊過(guò)去的方向上,①與②之間被信號(hào)線隔斷
2023-12-22 17:38:39687

【飛騰派4G版免費(fèi)試用】2.手把手實(shí)戰(zhàn)編譯Linux內(nèi)核

親愛(ài)的工程師、學(xué)生和愛(ài)好者們,我來(lái)啦!非常感謝上一篇文章【飛騰派4G版免費(fèi)試用】1.實(shí)戰(zhàn)交叉編譯環(huán)境搭建和手把手uboot編譯下方的評(píng)論區(qū)網(wǎng)友:Jonny的贊賞和表?yè)P(yáng),特此為熱心網(wǎng)友再創(chuàng)作一篇,作為
2023-12-16 23:28:20

【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(四)手把手根據(jù)硬件配置 CPU 功能管腳

接上三篇: 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(一)手把手配置Yocto - 米爾電子 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(二)配置U-Boot步驟實(shí)戰(zhàn)
2023-12-09 15:48:48

最新ChatGPT詳細(xì)注冊(cè)圖文解說(shuō)教程 ChatGPT賬號(hào)注冊(cè)詳細(xì)步驟分析

2024年注冊(cè)ChatGPT詳細(xì)教程,手把手教你完成ChatGPT的注冊(cè)
2023-12-04 17:18:381982

手把手教你通過(guò)CODESYS V3進(jìn)行PLC編程(三)

宏集MC系列是基于樹(shù)莓派的高性能4核控制器;通過(guò)之前的教程,我們已經(jīng)為大家演示了宏集MC-Prime的連接、試運(yùn)行和CODESYS安裝,并創(chuàng)建了一個(gè)計(jì)數(shù)器項(xiàng)目;本期教程將進(jìn)一步教大家如何實(shí)現(xiàn)CODESYS的可視化
2023-11-30 14:02:16330

手把手教您使用鹽水噴霧試驗(yàn)機(jī)

鹽水噴霧試驗(yàn)機(jī)怎么使用?廣東艾思荔告訴您,鹽水噴霧試驗(yàn)機(jī)使用時(shí)要注意的事項(xiàng)很多,操作人員在使用時(shí)切不可疏漏。使用前應(yīng)充分做好準(zhǔn)備:純凈水應(yīng)提前加到飽和桶.鹽水應(yīng)提前配制好.箱體與箱蓋應(yīng)提前水密封.電應(yīng)提前到位。廣東艾思荔售后技術(shù)還可提供免費(fèi)教機(jī)服務(wù),讓您操作維護(hù)無(wú)后顧之憂。1.把試驗(yàn)箱接上電源,操作面板上有三個(gè)指示燈亮,這三個(gè)指示燈,都是水位指示燈,分別是兩
2023-11-29 11:05:09275

【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(三)手把手創(chuàng)建Uboot設(shè)備樹(shù)與內(nèi)核設(shè)備樹(shù)實(shí)戰(zhàn)

《Android Runtime源碼解析》+深入體會(huì)第章ART的執(zhí)行(4) 本人在本論壇內(nèi)的帖子:6—手把手實(shí)戰(zhàn)Linux控制小車 - RISC-V技術(shù) 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)
2023-11-28 09:54:17

手把手教你通過(guò)CODESYS V3進(jìn)行PLC編程(一)

宏集MC系列模塊化控制器是基于Raspberry Pi的高性能4核控制器,本系列教程將以宏集MC-Prime為例,詳細(xì)演示通過(guò)CODESYS V3進(jìn)行控制器測(cè)試編程的步驟,引導(dǎo)您輕松玩轉(zhuǎn)宏集MC系列控制器?
2023-11-21 15:13:41324

手把手帶你創(chuàng)建HAL版本MDK工程模板

如何快速開(kāi)發(fā) STM32 項(xiàng)目?我們總不能每次開(kāi)發(fā)一個(gè)項(xiàng)目就搭建一次工程,這樣效率太低了。
2023-11-20 10:31:26458

《電子工程師必備——九大系統(tǒng)電路識(shí)圖寶典》+附錄2化整為零和集零為整電路分析方法

學(xué)習(xí)方法 《運(yùn)算放大器參數(shù)解析與LTspice應(yīng)用仿真》+學(xué)習(xí)心得3第二章電氣參數(shù) 本人在本論壇內(nèi)的帖子: 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(一)手把手配置Yocto 【米爾
2023-11-18 21:06:29

《Android Runtime源碼解析》+深入體會(huì)第章ART的執(zhí)行(4)

-接替335x-試用評(píng)測(cè)】+(一)手把手配置Yocto 【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(二)配置U-Boot步驟實(shí)戰(zhàn) 6—手把手實(shí)戰(zhàn)Linux控制小車 - RISC-V技術(shù)
2023-11-17 01:33:20

【米爾-TIAM62開(kāi)發(fā)板-接替335x-試用評(píng)測(cè)】+(一)手把手配置Yocto

://bbs.elecfans.com/jishu_2380842_1_1.html 《Android Runtime源碼解析》+學(xué)習(xí)心得首發(fā)(3) 本人在本論壇內(nèi)的帖子:6—手把手實(shí)戰(zhàn)Linux控制小車
2023-11-13 00:48:28

手把手教你通過(guò)CODESYS V3進(jìn)行PLC編程(二)

在上一期教程中,我們已經(jīng)完成了控制器設(shè)備的連接和配置。接下來(lái)的教程將繼續(xù)以HK-MC-Prime為例,假設(shè)控制器已經(jīng)配置并連接到開(kāi)發(fā)者的PC上,為您演示如何為控制器安裝合適的CODESYS V3版本并創(chuàng)建第一個(gè)程序。
2023-11-09 10:06:42342

手把手帶您使用MCUXpresso Config Tools生成USB組合設(shè)備

手把手帶您使用MCUXpresso Config Tools生成USB組合設(shè)備
2023-10-31 16:55:26633

手把手教你Wireshark使用教程

Wireshark使用的環(huán)境大致分為兩種,一種是電腦直連網(wǎng)絡(luò)的單機(jī)環(huán)境,另外一種就是應(yīng)用比較多的網(wǎng)絡(luò)環(huán)境,即連接交換機(jī)的情況。
2023-10-30 16:40:20594

LOTO示波器_從零開(kāi)始手把手測(cè)電源開(kāi)環(huán)增益/電源環(huán)路頻響曲線/PSM

我們之前有篇文章從理論到實(shí)踐演示了如何測(cè)量電源環(huán)路的開(kāi)環(huán)增益曲線,不過(guò)偏重于理論和原理,沒(méi)有很多細(xì)節(jié)的展現(xiàn),所以這片文章從另外的角度,從零基礎(chǔ)開(kāi)始,手把手一步一步演示如果進(jìn)行實(shí)操測(cè)試。
2023-10-26 16:08:55388

示波器_從零開(kāi)始手把手測(cè)電源開(kāi)環(huán)增益/電源環(huán)路頻響曲線/PSM

示波器_ 從零開(kāi)始手把手測(cè)電源開(kāi)環(huán)增益/電源環(huán)路頻響 曲線/ PSM 我們之前有篇文章從理論到實(shí)踐演示了如何測(cè)量電源環(huán)路的開(kāi)環(huán)增益曲線,不過(guò)偏重于理論和原理,沒(méi)有很多細(xì)節(jié)的展現(xiàn),所以這片文章從另外
2023-10-26 15:54:40

手把手教你學(xué)FPGA仿真

電子發(fā)燒友網(wǎng)站提供《手把手教你學(xué)FPGA仿真.pdf》資料免費(fèi)下載
2023-10-19 09:17:361

【直播預(yù)告】LabVIEW機(jī)械手視覺(jué)糾偏關(guān)鍵技術(shù)探討

視覺(jué)軟件著作權(quán);編寫了《Labview視覺(jué)算子詳解》一書;開(kāi)發(fā)了《labview機(jī)器視覺(jué)實(shí)用教程》全套2000分鐘視頻教程,《龍哥手把手教你學(xué)視覺(jué)-視覺(jué)篇》,《龍哥手把手教你學(xué)視覺(jué)-運(yùn)動(dòng)篇》,《龍哥
2023-10-17 15:37:40

手把手教你學(xué)FPGA仿真篇

大型項(xiàng)目中有專門的驗(yàn)證同事,這種專業(yè)的驗(yàn)證有專門的驗(yàn)證方法學(xué),目前SystermVerilog 越來(lái)越成為主流的驗(yàn)證語(yǔ)言。本文不涉及 SystermVerilog 這種專業(yè)的驗(yàn)證語(yǔ)言,本人目的是為了讓大家能夠搭建簡(jiǎn)單的 UT 單元測(cè)試環(huán)境。Verilog 也有適用于仿真的代碼,這些代碼是不可以綜合的??梢允褂肰erilog 來(lái)搭建基本的單元測(cè)試環(huán)境。本問(wèn)介紹了如何編寫測(cè)試驗(yàn)證程序(test bench)。測(cè)試驗(yàn)證程序用于測(cè)試和驗(yàn)證設(shè)計(jì)的正確性。Verilog HDL 提供強(qiáng)有力的結(jié)構(gòu)來(lái)說(shuō)明測(cè)試驗(yàn)證程序。
2023-10-13 08:11:45

【昉·星光 2 高性能RISC-V單板計(jì)算機(jī)體驗(yàn)】+6手把手實(shí)戰(zhàn)Linux控制小車

體驗(yàn)】4連接HDMI顯示圖形界面 - RISC-V技術(shù)論壇 【昉·星光 2 高性能RISC-V單板計(jì)算機(jī)體驗(yàn)】5 賽昉科技更新202308鏡像測(cè)試首發(fā)- RISC-V技術(shù)論壇 手把手實(shí)戰(zhàn)詳細(xì)步驟、源代碼如下
2023-10-12 10:05:57

FPGA 求助 200人民1小時(shí),按時(shí)付費(fèi)

。需要牛人協(xié)助 把ARM M0 的 Verilog Code 建立FPGA project 一步一步,最后燒錄到FPGA 里面驗(yàn)證 ARM M0 需要有5以上FPGA經(jīng)驗(yàn)的牛人 手把手一下。 報(bào)酬是每小時(shí)200人民, 按實(shí)際花費(fèi)的時(shí)間付費(fèi) 有意向者,請(qǐng)聯(lián)系WX dustofsun 謝謝
2023-10-06 10:35:07

手把手教你基于RT-Thread Studio使用STM32單片機(jī)的PWM外設(shè)

目前我使用的是STM32L475系列單片機(jī),是ali當(dāng)時(shí)在PDD 50塊錢賣的一個(gè)開(kāi)發(fā)板,其他STM32單片機(jī)參考即可,這里會(huì)手把手教大家基于RTT Studio 來(lái)使用PWM。
2023-09-28 15:12:412285

手把手教你制作無(wú)線充電器

手把手教你制作無(wú)線充電器(無(wú)線充電原理+產(chǎn)品應(yīng)用+DIY實(shí)例)
2023-09-28 07:48:01

用51單片機(jī)DIY音樂(lè)頻譜顯示

該資源是手把手教你用51單片機(jī)DIY音樂(lè)頻譜顯示
2023-09-27 07:42:25

手把手,帶你探索i.MX 93在機(jī)器學(xué)習(xí)中的應(yīng)用!

i.MX 93應(yīng)用處理器 通過(guò)集成的 EdgeLock安全區(qū)域提供高效的機(jī)器學(xué)習(xí)(ML)加速和高級(jí)安全性,以支持高能效的邊緣計(jì)算。它是i.MX系列中首個(gè)集成了Arm Cortex-A55內(nèi)核的產(chǎn)品,有助于提高Linux邊緣應(yīng)用及Arm Ethos-U65 microNPU的性能與能效,助力開(kāi)發(fā)人員打造功能更強(qiáng)大、更具成本效益、更節(jié)能的ML應(yīng)用。 在本期的視頻講座中,恩智浦的專家將圍繞以下五個(gè)知識(shí)點(diǎn),循序漸進(jìn),帶著大家一起去探索i.MX 93在機(jī)器學(xué)習(xí)中的應(yīng)用: 在嵌入式平臺(tái)上部署人工智能應(yīng)用的優(yōu)勢(shì)
2023-09-22 08:10:06288

STM32Cube學(xué)習(xí)筆記

STM32Cube學(xué)習(xí)筆記,一步一步手把手帶你進(jìn)入STM32Cube的世界,包括點(diǎn)燈,按鍵,串口,ADC,DAC等等一共16篇。
2023-09-20 06:49:48

【觸覺(jué)智能 Purple Pi OH 開(kāi)發(fā)板體驗(yàn)】Ubuntu固件手把手燒錄

1、下載觸覺(jué)智能官網(wǎng)提供的Ubuntu鏡像 2、下載觸覺(jué)智能官網(wǎng)提供的一系列tools工具 3、根據(jù)觸覺(jué)智能官網(wǎng)提供的 固件及燒錄說(shuō)明.pdf*附件:固件及燒錄說(shuō)明.pdf 4、安裝RK USB 驅(qū)動(dòng) 5、Loader模式升級(jí)固件 1、)遇見(jiàn)問(wèn)題 2、)解決問(wèn)題 3、)成功燒錄 精彩繼續(xù)中。。。。。。
2023-09-18 14:16:41

手把手教你pcb壓合的整個(gè)流程,小白也能玩轉(zhuǎn)電路板制作

手把手教你pcb壓合的整個(gè)流程,小白也能玩轉(zhuǎn)電路板制作
2023-09-18 10:43:221335

NFC電路匹配實(shí)例 NFC電路原理圖和PCB設(shè)計(jì)

很多朋友后臺(tái)私信我,要有一個(gè)手把手的實(shí)例演示就好了,剛好一個(gè)智能鎖的項(xiàng)目,刷卡距離只有3mm左右,需優(yōu)化刷卡距離.這次調(diào)試記錄了調(diào)試過(guò)程的所思所想,歡迎批評(píng)指證.
2023-09-14 16:00:273366

手把手教你動(dòng)態(tài)編輯Xilinx FPGA內(nèi)LUT內(nèi)容

在7系列FPGA中,將近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是說(shuō),F(xiàn)PGA內(nèi)2/3的資源在bitstream文件下載后,其邏輯功能就無(wú)法更改了,除非修改代碼并生成新的bitstream文件。
2023-08-26 14:18:371039

電子工程師的工具

電源電路DIY電池
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-08-23 21:49:54

手把手教你OTA升級(jí)開(kāi)發(fā)

簡(jiǎn)介 升級(jí)包安裝組件運(yùn)行在updater分區(qū),其功能主要包括讀取misc分區(qū)信息獲取升級(jí)包狀態(tài),對(duì)升級(jí)包進(jìn)行校驗(yàn),確保升級(jí)包合法有效;然后從升級(jí)包中解析出升級(jí)的可執(zhí)行程序,創(chuàng)建子進(jìn)程并啟動(dòng)升級(jí)程序。具體升級(jí)的動(dòng)作由升級(jí)腳本控制。本文將介紹如何針對(duì)OpenHarmony L2場(chǎng)景適配updater模式。主要內(nèi)容包括: *1.OTA升級(jí)實(shí)現(xiàn)原理 2.OTA升級(jí)適配 3.升級(jí)包制作工具 4.升級(jí)包制作流程 5.OTA升級(jí)UX界面適配 6.示例服務(wù)器開(kāi)發(fā)概述*前置條件 參考OpenHarmony官方指導(dǎo),完成正常系統(tǒng)編譯和內(nèi)核啟動(dòng),能進(jìn)入正常模式,且運(yùn)行正常。 芯片需配置包含updater分區(qū)和misc分區(qū)的分區(qū)表。updater分區(qū)大小不小于32M。 **1、OTA升級(jí)實(shí)現(xiàn)原理 1.1 OTA實(shí)現(xiàn)主要流程:**1.2 升級(jí)服務(wù)組件 升級(jí)服務(wù)組件是一個(gè)SA(System Ability), 由OHOS 的init 進(jìn)程負(fù)責(zé)啟動(dòng)。 升級(jí)服務(wù)器引擎主要功能包括: 1、查找可用的升級(jí)包 2、下載升級(jí)包 3、設(shè)置/獲取升級(jí)策略 4、觸發(fā)升級(jí) 代碼目錄 base/update/updateservice# 升級(jí)服務(wù)代碼倉(cāng)目錄 ├── interfaces # 升級(jí)客戶端接口目錄 │├── kits# 對(duì)外接口封裝目錄 ││└── js # 提供給升級(jí)客戶端應(yīng)用的JS 接口目錄 │└── inner_api # SA 接口定義和封裝目錄 ├── frameworks # 部件無(wú)獨(dú)立進(jìn)程的實(shí)現(xiàn) │└── js# JS API的實(shí)現(xiàn) │ └── napi# napi代碼實(shí)現(xiàn) │└── client# 升級(jí)客戶端napi 接口目錄 ├── services# 獨(dú)立進(jìn)程的實(shí)現(xiàn) │├── callback# 提供給升級(jí)客戶端應(yīng)用的callback接口目錄 │└── engine # 升級(jí)客戶端引擎服務(wù)目錄 │ ├── etc# 升級(jí)客戶端引擎rc配置文件目錄 │ ├── include# 升級(jí)客戶端引擎頭文件目錄 │ ├── sa_profile# SA 配置文件目錄 │ └── src# 升級(jí)客戶端引擎源碼目錄 ├── test # 測(cè)試代碼目錄 │├── unittest# 升級(jí)客戶端UT代碼目錄 │└── fuzztest# 升級(jí)客戶端FT代碼目錄 ├── BUILD.gn# 編譯入口 └── bundle.json# 部件描述文件 JS接口說(shuō)明 接口 說(shuō)明 checkNewVersion 檢查是否有可用的升級(jí)包版本 download() 下載升級(jí)包 upgrade() 將升級(jí)命令寫入到misc分區(qū),最終調(diào)用reboot命令,進(jìn)入到updater 子系統(tǒng)中。 getNewVersionInfo() 升級(jí)完成后,獲取升級(jí)后的版本信息 setUpgradePolicy 設(shè)置升級(jí)策略 getUpgradePolicy 獲取升級(jí)策略 使用說(shuō)明 1,導(dǎo)入updateclient lib import client from \'libupdateclient.z.so\' 2,獲取update對(duì)象 let updater = client.getUpdater(\'OTA\'); 3,獲取新版本信息 updater.getNewVersionInfo(info => { info \"新版本信息\" }); 4,檢查新版本 updater.checkNewVersion(info => { info \"新版本信息\" }); 5,下載新版本,并監(jiān)聽(tīng)下載進(jìn)程 updater.download(); updater.on(\"downloadProgress\", progress => { progress \"下載進(jìn)度信息\" }); 6,啟動(dòng)升級(jí) ------------------------------欲知詳情,請(qǐng)移步LAVAL社區(qū)OTA升級(jí)開(kāi)發(fā)指導(dǎo)----------------------------
2023-08-22 09:13:18

十年經(jīng)驗(yàn)手把手教你單片機(jī)

2023-08-21 17:51:062

基于FPGA按鍵控制LED-ISE操作工具

本系列將帶來(lái)FPGA的系統(tǒng)性學(xué)習(xí),從最基本的數(shù)字電路基礎(chǔ)開(kāi)始,最詳細(xì)操作步驟,最直白的言語(yǔ)描述,手把手的“傻瓜式”講解,讓電子、信息、通信類專業(yè)學(xué)生、初入職場(chǎng)小白及打算進(jìn)階提升的職業(yè)開(kāi)發(fā)者都可以有系統(tǒng)性學(xué)習(xí)的機(jī)會(huì)。
2023-08-16 09:28:46316

在vivado上一直識(shí)別不了開(kāi)發(fā)板的JATG接口怎么解決?

我在vivado上一直識(shí)別不了開(kāi)發(fā)板的JATG接口,也已經(jīng)參考社區(qū)提供的解決方案嘗試了:[size=1.75]RVMCU課堂「9」: 手把手教你玩轉(zhuǎn)RVSTAR—常見(jiàn)問(wèn)題,設(shè)備管理器顯示如下,但是vivado還是識(shí)別不了,請(qǐng)大佬指點(diǎn)一下,謝謝。
2023-08-16 07:16:30

有獎(jiǎng)活動(dòng) | 大論道:一同暢聊鴻蒙生態(tài)

? 活動(dòng)簡(jiǎn)介 即日起-20239月5日,參與本期活動(dòng)與大一起聊聊鴻蒙新生態(tài),您可以在社區(qū)寫下對(duì)鴻蒙生態(tài)的暢想,也可以學(xué)習(xí)相關(guān)課程并獲取證書,完成活動(dòng)任務(wù)即可參與精美禮品抽獎(jiǎng)。 活動(dòng)周期 8月1
2023-08-08 16:30:35

手把手帶小白做MODBUS通訊實(shí)驗(yàn)

我們做程序庫(kù)封裝的基本要義是高內(nèi)聚低耦合, 而評(píng)價(jià)低耦合的終極體現(xiàn)則是使用實(shí)現(xiàn)過(guò)程的優(yōu)雅程度。
2023-08-06 17:05:14698

手把手大家制作行人可控交通燈

芯片嵌入式物聯(lián)網(wǎng)STM32
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-08-02 12:37:30

信馳達(dá)RF-BM-2340B1藍(lán)牙模塊手把手透?jìng)髦改?/a>

手把手帶你了解一塊電路板,從設(shè)計(jì)到制作(干貨)

拆開(kāi)手機(jī)內(nèi)部,會(huì)發(fā)現(xiàn)手機(jī)的主體部分除了用于顯示的屏幕觸控板就是一塊有各種各樣元器件的電子電路板。 所以問(wèn)題來(lái)了,這個(gè)電子電路板是怎么來(lái)的? 本次就來(lái)聊一聊這個(gè)電子電路板從無(wú)到有的過(guò)程。 首先需要知道,電子電路板也叫主板,主板一般我們叫PCB(Printed Circuit Board)板,中文名稱印刷電路板,上面集成了各種規(guī)格的電阻,電容,電感二極管等,當(dāng)然也包含有各種功能的IC,在這些元件的共同作用下,得到了具有各種功能的電子產(chǎn)品的電
2023-07-26 10:33:121856

手把手教您家用路由器應(yīng)該如何挑選

手把手教您家用路由器應(yīng)該如何挑選
2023-07-26 09:20:57763

信馳達(dá)RF-BM-2340B1藍(lán)牙模塊手把手透?jìng)髦改?/a>

112.液晶電視黑屏故障,手把手換燈條 #硬聲創(chuàng)作季

電路電容
充八萬(wàn)發(fā)布于 2023-07-22 01:41:16

75.手把手維修格蘭仕變頻微波爐不加熱故障 #硬聲創(chuàng)作季

電路維修
充八萬(wàn)發(fā)布于 2023-07-20 13:50:54

STM32+SD NAND(貼片SD卡)完成FATFS文件系統(tǒng)移植與測(cè)試

這篇文章就手把手教大家,在STM32上完成FATFS文件系統(tǒng)的移植;主控芯片采用STM32F103ZET6, 存儲(chǔ)芯片我這里采用(雷龍) CS創(chuàng)世 SD NAND 。 SD NAND 簡(jiǎn)單來(lái)說(shuō)就是
2023-07-17 17:24:393927

U-boot的基本介紹

從本文開(kāi)始,將陸續(xù)推送“手把手教你移植U-boot”系列文章,目標(biāo)是由淺入深地講解U-boot的工作流程、原理、配置方法和移植方法,手把手教你完成U-boot的移植工作,默認(rèn)硬件開(kāi)發(fā)平臺(tái)為ARM,操作系統(tǒng)為L(zhǎng)inux。
2023-07-14 16:52:011233

專家手把手教您注冊(cè) COS 以及提交 Hardware Case

Software Case? 您可參考: (點(diǎn)擊進(jìn)入?) 《專家手把手教您注冊(cè) COS 以及提交 Case》 。 如您想提交 Hardware Case,本篇將手把手教您,
2023-07-12 12:15:02577

【教程】手把手教你!搭建LoRaWAN自動(dòng)采集系統(tǒng)

一系統(tǒng)簡(jiǎn)介隨著物聯(lián)網(wǎng)技術(shù)的發(fā)展和應(yīng)用,許多新興的物聯(lián)網(wǎng)平臺(tái)和協(xié)議出現(xiàn)。LoRaWAN是一款基于LoRa的星型網(wǎng)絡(luò),使用LoRa的低功耗、距離遠(yuǎn)的特性,這種網(wǎng)絡(luò)適用于各類抄表業(yè)務(wù)。LoRaWAN網(wǎng)絡(luò)組成主要分三部分,設(shè)備端、網(wǎng)關(guān)和服務(wù)器端。下文是使用E78-DTU、E890-470LG11LoRaWAN網(wǎng)關(guān)搭建一個(gè)LoRaWAN的自動(dòng)采集系統(tǒng),LoRaWAN服
2023-07-07 10:02:15574

手把手分享做網(wǎng)線水晶頭的技巧

如今,隨著網(wǎng)絡(luò)技術(shù)的飛速發(fā)展,電腦已經(jīng)成為家庭和工作的必備工具。電腦上網(wǎng)需要接入網(wǎng)線。你知道網(wǎng)線兩端的插頭是怎么做的嗎?下面科蘭小編手把手分享做網(wǎng)線水晶頭的技巧。 做網(wǎng)線水晶頭首先要知道網(wǎng)線的結(jié)構(gòu)
2023-07-05 10:35:441183

【視頻教程】紫光同創(chuàng)PGL22G關(guān)鍵特性評(píng)估板@盤古22K開(kāi)發(fā)板開(kāi)箱教程

開(kāi)箱大吉#紫光同創(chuàng)PGL22G關(guān)鍵特性評(píng)估板@盤古22K開(kāi)發(fā)板 開(kāi)箱教程來(lái)啦!詳細(xì)教程手把手來(lái)啦!#紫光盤古系列開(kāi)發(fā)板@盤古22K開(kāi)發(fā)板 基于紫光同創(chuàng)40nm工藝的FPGA主控芯片(Logos系列
2023-06-28 10:46:17

好書推薦|《OpenHarmony嵌入式操作原理與應(yīng)用》

。 這本書除了對(duì)rk2206開(kāi)發(fā)板進(jìn)行硬件原理圖和芯片資源的講解,還對(duì)軟件可能涉及到的操作系統(tǒng)原理知識(shí)進(jìn)行了更細(xì)致的介紹。所以完全不用擔(dān)心這本書不會(huì)你。從環(huán)境搭建到使用,可以說(shuō)是手把手教你如何去做
2023-06-27 23:12:28

手把手教你畫“GND”

“GND”在一塊PCB板上的重要程度,不亞于水對(duì)人體的重要程度。怎么畫好“GND”會(huì)伴隨硬件工程師很長(zhǎng)一段時(shí)間,想要畫好“GND”其實(shí)并不難,只要注意下面這幾點(diǎn)就可以了。
2023-06-20 10:15:35753

手把手用Verilog實(shí)現(xiàn)FIR濾波器

首先需要把FIR最基本的結(jié)構(gòu)實(shí)現(xiàn),也就是每個(gè)FIR抽頭的數(shù)據(jù)與其抽頭系數(shù)相乘這個(gè)操作。由頂層文件對(duì)這個(gè)基本模塊進(jìn)行多次調(diào)用。
2023-06-19 11:45:122161

手把手教你無(wú)感FOC電機(jī)控制

該圖為FOC入門基本框架,我們圍繞著這個(gè)框圖開(kāi)始從0開(kāi)始寫FOC算法。
2023-06-09 16:43:154176

手把手教你電氣圖變成PLC程序圖

PLC程序設(shè)計(jì),一般均采用直覺(jué)法,也就是說(shuō)它植基于電路設(shè)計(jì)者本身之學(xué)習(xí)經(jīng)驗(yàn),較為主觀及直接。須經(jīng)歷一段瞎子摸象的嘗試錯(cuò)誤(tryanderror)時(shí)期,對(duì)程序進(jìn)行除錯(cuò)之后才能符合所需功能或動(dòng)作要求
2023-06-06 10:01:57368

手把手教你搭建內(nèi)網(wǎng)穿透服務(wù)器

有時(shí)候我們需要把外網(wǎng)可以訪問(wèn)自己的內(nèi)網(wǎng),比如在微信公眾號(hào)開(kāi)發(fā)調(diào)用接口時(shí)為了方便調(diào)試就需要配置回調(diào)地址或者是想把自己的nas可以在不在家就能訪問(wèn),這時(shí)候就需要內(nèi)網(wǎng)穿透。
2023-05-29 16:31:2712309

手把手教你開(kāi)發(fā)圓盤儀表控件

大家好,我是新閣教育韓工,前幾天在網(wǎng)上看到了一個(gè)比較好看的環(huán)形控件,今天我們來(lái)嘗試使用GDI+的方式來(lái)繪制一下。上位機(jī)自定義控件庫(kù)其實(shí)本質(zhì)上就是一個(gè)類庫(kù),所以我們?cè)趧?chuàng)建項(xiàng)目時(shí)直接創(chuàng)建類庫(kù)項(xiàng)目。
2023-05-29 15:06:450

手把手教你MATLAB,Sumlink電機(jī)控制代碼生成,內(nèi)容表貼電機(jī)凸極電機(jī)無(wú)感FOC重載啟動(dòng)。系列課程免費(fèi)直播在線互動(dòng)。

202306月08日下午3點(diǎn)直播開(kāi)始(15:00時(shí)) 算法完全開(kāi)源。芯片基于STM32F302C8T6。本次系列課程會(huì)在電子發(fā)燒友免費(fèi)直播。期間會(huì)把算法全開(kāi)源。資料全開(kāi)源。手把手大家搭建FOC
2023-05-26 14:00:49

上位機(jī)中定時(shí)器的使用和串口的配置

本文是講解C#.net平臺(tái)的Winform框架下的第三個(gè)內(nèi)容,手把手介紹上位機(jī)項(xiàng)目的創(chuàng)建方式以及一些寫軟件時(shí)常用的功能,講解從零開(kāi)始的每一個(gè)步驟。
2023-05-19 17:17:433

7種方法怎么進(jìn)步電感Q值

7種方法怎么進(jìn)步電感Q值 7種方法怎么進(jìn)步電感Q值電感Q值指的是電感質(zhì)量因素,一般來(lái)說(shuō),電感Q值從十幾到幾百的都有。近日小編接到來(lái)自山東,江西,江蘇等省份多個(gè)儀器外表及檢測(cè)行業(yè)的客戶發(fā)來(lái)
2023-05-19 15:08:32

FPGA零基礎(chǔ)學(xué)習(xí):數(shù)字電路中的時(shí)序邏輯

大俠好,歡迎來(lái)到FPGA技術(shù)江湖。本系列將帶來(lái)FPGA的系統(tǒng)性學(xué)習(xí),從最基本的數(shù)字電路基礎(chǔ)開(kāi)始,最詳細(xì)操作步驟,最直白的言語(yǔ)描述,手把手的“傻瓜式”講解,讓電子、信息、通信類專業(yè)學(xué)生、初入職場(chǎng)小白及打算進(jìn)階提升的職業(yè)開(kāi)發(fā)者都可以有系統(tǒng)性學(xué)習(xí)的機(jī)會(huì)。
2023-05-11 11:48:00576

手把手教你用博圖V17編寫一個(gè)PLC程序

添加新設(shè)備后,與博途V16其它版本不同的是,博途V17有一個(gè)彈出窗口,需要進(jìn)行PLC安全設(shè)置。首先設(shè)置PLC數(shù)據(jù)密碼,如圖所示。
2023-05-11 10:42:173038

手把手帶你搞硬件設(shè)計(jì)

先用萬(wàn)能植錫鋼網(wǎng)(這是最落后的工具,除此之外還有植錫臺(tái),不過(guò)挺貴的),跟BGA對(duì)齊,再用膠布把BGA和鋼網(wǎng)粘住固定好。先加錫膏,再用風(fēng)槍吹一會(huì)(風(fēng)槍的風(fēng)速和溫度可以調(diào)低一點(diǎn)),錫變亮的時(shí)候,再用手術(shù)刀,把多余的錫刮走。如果錫球不均勻的話,再重復(fù)上一步,直接錫球均勻?yàn)橹?。撕掉膠布,用手術(shù)刀把BGA撬起來(lái)。
2023-05-10 11:54:42775

C#上位機(jī)系列(1)—項(xiàng)目的建立

本文是講解C#.net平臺(tái)的Winform框架下的第一個(gè)內(nèi)容,手把手介紹項(xiàng)目的創(chuàng)建方式以及一些寫軟件時(shí)常用的功能。之前寫過(guò)一篇關(guān)于示波器的比較抽象,本文講解從零開(kāi)始的每一個(gè)步驟
2023-05-10 10:38:210

手把手教你寫上位機(jī)軟件(c#、winform)

在自動(dòng)化行業(yè)中的上位機(jī)軟件類型一般分為以下幾種: 1、組裝設(shè)備的上位機(jī)軟件 該類型的軟件一般有以下功能: 1)和PLC通信; 2)和相機(jī)通信,界面顯示圖片以及通過(guò)圖像算法獲取算法計(jì)算結(jié)果; 3)各種參數(shù)開(kāi)放到界面可設(shè)置; 4)數(shù)據(jù)的保存,將數(shù)據(jù)以csv、txt等格式進(jìn)行保存; 5)日志用于問(wèn)題分析
2023-05-10 10:30:285

手把手教你寫上位機(jī)(三)TCP使用

網(wǎng)絡(luò)通信中的一個(gè)非常重要的概念就是套接字(Socket),簡(jiǎn)單地說(shuō),套接字就是網(wǎng)絡(luò)進(jìn)程的 ID,網(wǎng)絡(luò)通信歸根到底是進(jìn)程的通信,在網(wǎng)絡(luò)中,每個(gè)節(jié)點(diǎn)有一個(gè)網(wǎng)絡(luò)地址(即 IP 地址),兩個(gè)進(jìn)程通信 時(shí),首先要確定各自所在網(wǎng)絡(luò)節(jié)點(diǎn)的網(wǎng)絡(luò)地址,但是,網(wǎng)絡(luò)地址只能確定進(jìn)程所在的計(jì)算機(jī),而一臺(tái)計(jì)算機(jī)上可能同時(shí)有多個(gè)網(wǎng)絡(luò)進(jìn)程,還不能確定到底是其中的哪個(gè)進(jìn)程,由此套接字中還要有其他的 信息,那就是端口號(hào)(Port),在一臺(tái)計(jì)算機(jī)中,一個(gè)端口
2023-05-09 10:58:360

手把手教你編寫一個(gè)上位機(jī)

本次來(lái)教大家編寫一個(gè)基于QT的簡(jiǎn)單的上位機(jī)。 學(xué)習(xí)一個(gè)新的東西我們都從最基礎(chǔ)地實(shí)例開(kāi)始,比如學(xué)習(xí)C語(yǔ)言我們會(huì)從編寫一個(gè)hello程序開(kāi)始、學(xué)習(xí)嵌入式我們從點(diǎn)燈開(kāi)始。 同樣的,我們也從編寫一個(gè)簡(jiǎn)單的基于QT的上位機(jī)來(lái)體會(huì)體會(huì)上位機(jī)開(kāi)發(fā)及認(rèn)識(shí)認(rèn)識(shí)QT。
2023-05-08 10:36:441

手把手教你電機(jī)FOC控制

三向電機(jī),分別為UVW三向,角度互差120度。若使用BLDC控制方法,如下圖每次換向增加60度,轉(zhuǎn)子只能到達(dá)六個(gè)位置,所以六步換向時(shí)會(huì)有振動(dòng)。使用FOC控制方法可以使轉(zhuǎn)子到達(dá)任意角度,所以 運(yùn)行起來(lái)會(huì)更加平滑。 如果想到達(dá)40度的位置,只需要在0度方向通電一段時(shí)間,在60度方向通電一段時(shí)間,再在空矢量的狀態(tài)下通電一段時(shí)間(全橋000或111的位置為空矢量,空矢量的時(shí)長(zhǎng)用來(lái)調(diào)節(jié)扭矩。后面會(huì)講到),三 段時(shí)間組成一個(gè)周期,以這個(gè)周期循環(huán)產(chǎn)生
2023-05-06 11:07:4811

手把手大家制作行人可控交通燈

DIY
YS YYDS發(fā)布于 2023-05-03 17:58:25

工程師手把手教你硬件電路設(shè)計(jì)

在學(xué)習(xí)電路設(shè)計(jì)的時(shí)候,不知道你是否有這樣的困擾:明明自己學(xué)了很多硬件電路理論,也做過(guò)了一些基礎(chǔ)操作實(shí)踐,但還是無(wú)法設(shè)計(jì)出自己理想的電路。歸根結(jié)底,我們?nèi)鄙俚氖怯布娐吩O(shè)計(jì)的思路,以及項(xiàng)目實(shí)戰(zhàn)經(jīng)驗(yàn)。
2023-04-26 09:36:552397

MIMXRT1170-EVK變的原因?

MIMXRT1170-EVK 變
2023-04-21 08:03:32

智能面板小程序如何實(shí)現(xiàn)跨端開(kāi)發(fā),并無(wú)縫引入ChatGPT?

手把手教你開(kāi)發(fā)智能面板小程序
2023-04-18 18:31:345196

成長(zhǎng)計(jì)劃知識(shí)賦能 | 第十期:DAYU200開(kāi)發(fā)入門秒Get

的框架原理、從零上手OpenHarmony智能家居項(xiàng)目、手把手教你實(shí)現(xiàn)WiFi掃描儀、涂鴉小游戲、漸進(jìn)式深入理解OpenHarmony系統(tǒng)等41節(jié)課程,覆蓋近10萬(wàn)+開(kāi)發(fā)者。2023
2023-04-17 11:18:05

德索手把手教你延長(zhǎng)LVDS連接器的使用壽命

德索五金電子工程師指出,LVDS連接器電子元件的使用壽命,很多時(shí)候能決定了行業(yè)中電子連接系統(tǒng)的壽命,從電信到制造再到自動(dòng)化。當(dāng)您對(duì)機(jī)器設(shè)備或連接系統(tǒng)的早期故障進(jìn)行故障排除時(shí),故障可能與關(guān)鍵系統(tǒng)的LVDS連接器電子元件的故障有關(guān)。那該注意哪些問(wèn)題才能防止這種情況發(fā)生呢?
2023-04-14 11:04:15239

【正點(diǎn)原子STM32精英V2開(kāi)發(fā)板體驗(yàn)】資料獲取方式

個(gè),工程結(jié)構(gòu)更加合理、編程風(fēng)格更加規(guī)范化等。教程方面推出了一系列全新的視頻!目前已經(jīng)上架(并持續(xù)更新)的視頻包括:手把手教你學(xué)STM32 HAL庫(kù)開(kāi)發(fā)教學(xué)視頻(兼容全系列)、手把手教你學(xué)
2023-04-13 09:13:27

智能車淺談—手把手讓車跑起來(lái)(電磁篇)

這里以基礎(chǔ)電磁四輪組為例,建議使用C車模,B車的傻蛋5舵機(jī)以及機(jī)械差速還是有些許的頭禿,當(dāng)然官方今年好像已經(jīng)宣布了換掉SD5舵機(jī),由于C車是雙電機(jī)可以使用主動(dòng)差速,所以建議大家上手選擇C車。
2023-04-11 09:43:221596

超實(shí)用!手把手教你如何拷貝遙控器

一般用戶習(xí)慣稱為萬(wàn)能遙控器,而我們一般習(xí)慣叫它對(duì)拷遙控器或者射頻遙控器,它的出現(xiàn)使電動(dòng)車或者電動(dòng)門匹配變得十分簡(jiǎn)單,特點(diǎn)是:不需要拷貝機(jī),也不需要知道震蕩電阻,不需要復(fù)雜的操作,只需要輕輕松松的幾部操作就可以獲得嶄新且功能一樣的遙控器;但前提是得保證原來(lái)遙控器可使用并且知道頻率。
2023-04-07 17:24:158097

手把手教你同軸連接器優(yōu)化設(shè)計(jì)

射頻同軸連接器是微波領(lǐng)域中重要的射頻傳輸元件,因其頻帶寬、連接方便可靠、性能優(yōu)越、成本低廉,在微波通信設(shè)備、儀器儀表及武器系統(tǒng)中得到廣泛應(yīng)用。
2023-04-07 10:50:44733

手把手教你學(xué)習(xí)Spyglass工具

作為IC設(shè)計(jì)人員,熟練掌握數(shù)字前端語(yǔ)法檢查工具Spyglass的重要性不言而喻,本文手把手教你學(xué)習(xí)Spyglass工具。
2023-04-03 10:46:541498

手把手教您如何設(shè)計(jì)3通道交錯(cuò)式CCM升壓PFC(上篇)

點(diǎn)擊藍(lán)字?關(guān)注我們 交錯(cuò)式升壓功率因數(shù)校正 (PFC) 轉(zhuǎn)換器可以通過(guò)負(fù)載均流來(lái)提高效率,因此它已成為高功率應(yīng)用的首選拓?fù)?。通過(guò)在多個(gè)平衡相位中分擔(dān)負(fù)載電流,可以顯著減小每相的 RMS 電流應(yīng)力、電流紋波和升壓電感大小。因此,重載效率顯著提高,從而允許選擇高性價(jià)比的功率 MOSFET 和升壓二極管,并有利于延長(zhǎng)電源的使用壽命。 FAN9673 先進(jìn) PFC 控制器是實(shí)現(xiàn)高功率 PFC(數(shù)千瓦以上)的出色解決方案。 FAN9673 是一款連續(xù)導(dǎo)通模式 (CCM) PFC 控制器,
2023-03-29 23:20:042484

阿爾法Linux

阿爾法Linux ATK-IMX6F800E8GD512M-B 6~24V
2023-03-28 13:06:25

手把手教你S7-1200中實(shí)現(xiàn)PID控制

PID功能用于對(duì)閉環(huán)過(guò)程進(jìn)行控制。PID控制適用于溫度、壓力和流量等物理量,是工業(yè)現(xiàn)場(chǎng)中應(yīng)用最為廣泛的一種控制方式,其原理是對(duì)被控對(duì)象設(shè)定一個(gè)給定值然后將實(shí)際值測(cè)量出來(lái),并與給定值比較,將其差值送入PID控制器,PID控制器按照一定的運(yùn)算規(guī)律,計(jì)算出結(jié)果,即為輸出值,送到執(zhí)行器進(jìn)行調(diào)節(jié),其中的P、I和D指的是比例、積分和微分,是一種閉環(huán)控制算法。通過(guò)這些參數(shù),可以使被控對(duì)象追隨給定值變化并使系統(tǒng)達(dá)到穩(wěn)定,自動(dòng)消除各種干擾對(duì)控制過(guò)程的影響。
2023-03-27 14:54:373311

已全部加載完成