電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>PLD技術(shù)>Smarter Networks助力賽靈思(Xilinx)再度領(lǐng)先一代

Smarter Networks助力賽靈思(Xilinx)再度領(lǐng)先一代

123下一頁全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

Xilinx發(fā)布新一代Smarter Networks和數(shù)據(jù)中心解決方案

賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX) )今天宣布推出新一代更智能(Smarter) 網(wǎng)絡(luò)和數(shù)據(jù)中心解決方案, 致力于填補(bǔ)重要的且日益擴(kuò)大的傳統(tǒng)ASIC和ASSP市場(chǎng)空白 。
2013-03-06 17:46:09840

Smarter Networks的三大關(guān)鍵特征簡(jiǎn)介

Smarter Networks能夠在整個(gè)網(wǎng)絡(luò)域范圍內(nèi)整合智能和分析功能,從而解決這類問題。解決這些問題后,網(wǎng)絡(luò)就能夠進(jìn)行自我配置,在高效運(yùn)行的同時(shí)還可最大限度地提升利用率并減少成本。
2013-04-09 16:58:37715

Smarter Networks點(diǎn)燃戰(zhàn)火 賽靈思拓疆勢(shì)力版圖

比拼先進(jìn)工藝制程的時(shí)代已經(jīng)OUT了。賽靈思已經(jīng)從一家FPGA芯片器件商轉(zhuǎn)型為一家以Smarter Networks(更智能網(wǎng)絡(luò))系統(tǒng)為重點(diǎn)的All Programmable 和Smarter
2013-04-03 22:22:312127

2020研電開始,Xilinx萬元大獎(jiǎng)等你來!看AI+MPsoc平臺(tái)如何選?

合作單位和全球抗疫先鋒企業(yè)之,自適應(yīng)計(jì)算的全球領(lǐng)導(dǎo)者公司,今年也特別設(shè)立Xilinx企業(yè)專項(xiàng)獎(jiǎng),開設(shè)兩項(xiàng)萬元現(xiàn)金+開發(fā)平臺(tái)等大獎(jiǎng),并承諾賽事期間提供強(qiáng)大團(tuán)隊(duì)培訓(xùn)指導(dǎo),致力于助力加速培養(yǎng)業(yè)界亟需
2020-05-23 15:36:29

5G技術(shù)助力一代物聯(lián)網(wǎng)

包括華為(Huawei)、英特爾(Intel)、國(guó)家儀器(NI)、沃達(dá)豐(Vodafone)和Xilinx)等多家公司的支持。
2019-07-12 07:49:05

7系列FPGA芯片-的“雄韜偉略”

本帖最后由 ycq654263138 于 2012-9-21 16:32 編輯   電子發(fā)燒友網(wǎng)訊:FPGA 7系列芯片正以燎原之勢(shì)席卷整個(gè)行業(yè)。在本文,電子發(fā)燒友網(wǎng)小編將帶領(lǐng)大家
2012-09-21 13:46:16

Xilinx FPGA中文培訓(xùn)資料教程【免費(fèi)下載】

本帖最后由 eehome 于 2013-1-5 09:52 編輯 不可多得的Xilinx FPGA中文培訓(xùn)材料教程,涉及到virtel的基本架構(gòu)、設(shè)計(jì)流程、如何閱讀報(bào)告、時(shí)序約束等經(jīng)典
2012-03-02 09:51:53

Xilinx UltraScale 系列發(fā)布常見問題匯總

的系統(tǒng)級(jí)性能和集成度提升1.5倍到2倍,領(lǐng)先整整。這具體是什么含義?  分析采用20nm工藝推出的UltraScale器件的特性和功能,我們看到競(jìng)爭(zhēng)對(duì)手需要發(fā)展到14nm工藝節(jié)點(diǎn)才能與賽
2013-12-17 11:18:00

Xilinx fpag 軟件

那位有FPGA 的軟件,麻煩發(fā)個(gè)地址共享下
2013-05-28 10:51:25

XilinxFPGA技術(shù)及應(yīng)用線上公開課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術(shù)及應(yīng)用線上公開課。歡迎大家觀看、學(xué)習(xí)交流~分享主題【FPGA人工智能領(lǐng)域技術(shù)及應(yīng)用】嵌入式視覺領(lǐng)域技術(shù)和解決方案機(jī)器學(xué)習(xí)方面的技術(shù)和解決方案ADAS/自動(dòng)駕駛方面的應(yīng)用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請(qǐng)聯(lián)系
2019-01-21 19:31:40

Xilinx公司產(chǎn)品導(dǎo)購(gòu)手冊(cè)

Xilinx公司產(chǎn)品導(dǎo)購(gòu)手冊(cè)Xilinx()相關(guān)產(chǎn)品介紹? Virtex-6 FPGA(XC6V)? Virtex-5 FPGA(XC5V)? Virtex-II FPGA(XC2V
2012-02-28 16:43:31

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開發(fā)7系列FPGA及Zynq?–7000 All Programmable SoC的評(píng)估套件Mini-Module Plus 用的電源模塊。安富利公司已經(jīng)開發(fā)出多款
2018-12-04 10:02:08

FPGA初學(xué)者 必備圖書 特權(quán)同學(xué)新書《勇敢的芯伴你玩轉(zhuǎn) FPGA》

、綜合進(jìn)階實(shí)例。、(3)提供Xilinx FPGA的站式入門學(xué)習(xí)方案:基礎(chǔ)概念闡釋、板級(jí)電路解析、開發(fā)工具安裝配置、豐富的Verilog例程講解。 作者簡(jiǎn)介吳厚航[網(wǎng)名:特權(quán)同學(xué)]有近10年
2017-11-27 12:23:53

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 向是FPGA領(lǐng)域里的領(lǐng)先者,運(yùn)用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經(jīng)典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對(duì)DLP數(shù)字影院投影儀產(chǎn)生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數(shù)字影院投影儀產(chǎn)品,均采用了Virtex?-5 FPGA系列產(chǎn)品。
2019-08-19 07:12:03

FPGA設(shè)計(jì)大賽參賽者自評(píng)分表格下載

FPGA設(shè)計(jì)大賽參賽者自評(píng)分表格下載自評(píng)分表填寫指引:參賽者須于提交設(shè)計(jì)作品時(shí)并呈交自評(píng)分表。每個(gè)參賽作品最高可獲得10分自評(píng)分。請(qǐng)?jiān)谶m當(dāng)?shù)姆礁裆洗蚬础①愓咦髌纷栽u(píng)分表格下載:[hide
2012-04-24 15:07:27

FPGA該怎么應(yīng)對(duì)內(nèi)窺鏡系統(tǒng)架構(gòu)的挑戰(zhàn)?

  什么是FPGA?如何幫助內(nèi)窺鏡制造商克服復(fù)雜的設(shè)計(jì)約束,生產(chǎn)出極具競(jìng)爭(zhēng)優(yōu)勢(shì)的產(chǎn)品?如何幫助他們成功構(gòu)建外形小巧的低功耗內(nèi)窺鏡攝像頭、高性價(jià)比的攝像機(jī)控制單元(CCU),以及多功能、低成本的圖像管理設(shè)備?  
2019-09-17 06:31:55

ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用?

版本都提供了完整的FPGA設(shè)計(jì)流程,并且專門針對(duì)特定的用戶群體(工程師)和特定領(lǐng)域的設(shè)計(jì)方法及設(shè)計(jì)環(huán)境要求進(jìn)行了優(yōu)化。那大家知道ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用嗎?
2019-07-30 06:52:50

Spartan開發(fā)板使用困境記錄 精選資料分享

Spartan開發(fā)板使用困境記錄原理圖和接口主要是對(duì)照核心板的原理圖,般的接法就是系列的單片機(jī),連接好電源和下載器,記得預(yù)先安好驅(qū)動(dòng),驅(qū)動(dòng)安裝成功與否能夠在設(shè)備管理器處查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)設(shè)計(jì)小技巧

Verilog(FPGACPLD)設(shè)計(jì)小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供參考時(shí)鐘電路圖

Virtex-6 HXT FPGA ML630評(píng)估套件采用SiTime電子發(fā)燒友振具體型號(hào)為:SIT9102AI-243N25E200.0000,而目前針對(duì)這型號(hào)sitime推出了抖動(dòng)更低
2014-11-17 15:07:35

Zynq-7000可擴(kuò)展處理平臺(tái)讓編程流程更簡(jiǎn)單

Zynq-7000可擴(kuò)展處理平臺(tái)(EPP)將雙ARM Cortex-A9 MPCore處理器系統(tǒng)與可編程邏輯和硬IP外設(shè)緊密集成在起,提供了靈活性、可配置性和性能的完美組合。圍繞其剛剛推出
2019-05-16 10:44:42

公司亞太區(qū)銷售與市場(chǎng)副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹(jǐn)代表公司與您分享個(gè)激動(dòng)人心的喜訊: 3 月1 日,公司宣布全球第片28nmFPGA 芯片(7K325T) 成功量產(chǎn)了!該里程碑式信息的發(fā)布,不僅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自適應(yīng)和智能計(jì)算的全球領(lǐng)先企業(yè)公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,從而進(jìn)
2020-11-02 08:34:50

有哪幾種ISE設(shè)計(jì)套件配置版本 ?

有哪幾種ISE設(shè)計(jì)套件配置版本 ?
2021-04-30 06:30:50

的DDR3讀寫地址直重復(fù)怎么辦?

最近在用的DDR3,用的AXi4接口,我寫入的地址是按照突發(fā)長(zhǎng)度來的,連續(xù)給8個(gè)讀的地址,但是在DDR3端,dq_addr 直在1418,1000,1010,0003,0002 等幾個(gè)地址中
2016-06-24 10:38:18

的FPGA用什么開發(fā)工具編程,有沒有大佬分享下安裝包

的FPGA用什么開發(fā)工具編程,有沒有大佬分享下安裝包
2018-05-24 17:51:38

高性能40nm Virtex-6 FPGA系列通過全生產(chǎn)驗(yàn)證

【來源】:《電子設(shè)計(jì)工程》2010年02期【摘要】:<正>公司與聯(lián)華電子共同宣布,采用聯(lián)華電子高性能40nm工藝的Virtex-6FPGA,已經(jīng)完全通過生產(chǎn)前的驗(yàn)證
2010-04-24 09:06:05

XILINX)全新7系列FPGA詳述

XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

AMD正收購(gòu)Xilinx,規(guī)模或超300億美元

設(shè)計(jì)公司Arm。另外,有行業(yè)人士認(rèn)為,這是美國(guó)自己推動(dòng)了AMD對(duì)賽的收購(gòu)案。去年,關(guān)鍵客戶華為被美國(guó)***列入黑名單時(shí),的業(yè)務(wù)遭受挫折。此后,包括客戶在內(nèi)的其他中國(guó)公司也相繼被列入名單。
2020-10-10 15:41:19

EIMKT求購(gòu)Xilinx()微處理器 原裝現(xiàn)貨

。Xilinx()微處理器是全球領(lǐng)先的可編程邏輯完整解決方案的供應(yīng)商,具有廣泛的高級(jí)集成電路、軟件設(shè)計(jì)工具以及作為預(yù)定義系統(tǒng)級(jí)功能的IP核,其產(chǎn)品被廣泛運(yùn)用在無線電話基站、DVD播放機(jī)的數(shù)字電子應(yīng)用技術(shù)中
2019-10-18 11:46:45

FPGA是Xilinx好,還是Altera好?

從軍事航空通信及原型設(shè)計(jì)的有限應(yīng)用推廣到如今電子行業(yè)各個(gè)領(lǐng)域的領(lǐng)導(dǎo)企業(yè)。此外 在每新工藝的推出方面, 不僅僅在技術(shù)、同時(shí)也在理念方面推動(dòng)著并領(lǐng)導(dǎo)著行業(yè)的不斷發(fā)展, 為更多的應(yīng)用、更多的工程師
2012-02-28 09:59:27

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開發(fā)板是altera的,但是很多人推薦說學(xué)習(xí)的好
2016-01-09 21:27:25

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)【工程師作品】

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn),DSP算法是很多工程師在設(shè)計(jì)過程中都會(huì)遇到的問題,本文將從FPGA設(shè)計(jì)的角度來講解浮點(diǎn)DSP算法的實(shí)現(xiàn)。FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)是工程師最新力作,資料不可多得,大家珍惜啊1FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)[hide][/hide]
2012-03-01 15:23:56

FPGA設(shè)計(jì)大賽獎(jiǎng)品介紹.iPad2+Xilinx Spartan-6開發(fā)板

`FPGA設(shè)計(jì)大賽獎(jiǎng)品.蘋果iPad2+Xilinx Spartan-6開發(fā)板 等你拿,FPGA發(fā)燒友、工程師們,心動(dòng)了么,那就趕快猛戳這里報(bào)名吧等獎(jiǎng)獎(jiǎng)品:iPad2+Xilinx
2012-04-25 09:32:46

FPGA設(shè)計(jì)時(shí)序約束指南【工程師力作】

條或多條路徑。在 FPGA 設(shè)計(jì)中主要有四種類型的時(shí)序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。FPGA設(shè)計(jì)時(shí)序約束指南[hide][/hide]`
2012-03-01 15:08:40

ML605 +系統(tǒng)生成器許可證出現(xiàn)錯(cuò)誤

\ ISE_DS \ ISE / data \ * .lic; C :\\ 13.1 \ ISE_DS \ ISE / coregen / core_licenses \ Xilinx
2019-09-06 08:23:25

”搶樓活動(dòng)第二輪,中獎(jiǎng)樓層公布!

://www.ttokpm.com/topic/xilinx/?ck=forum_QL);注:中獎(jiǎng)最終名單,將在觀看視頻時(shí)登記信息中進(jìn)行核對(duì),核對(duì)成功后為搶樓成功;2、本次搶樓有15個(gè)幸運(yùn)樓層,該
2013-10-11 10:40:34

“看視頻 聊感悟 贏話費(fèi)”搶樓行動(dòng)現(xiàn)在開始!

電子發(fā)燒友發(fā)動(dòng)搶樓活動(dòng)咯?。。:4_103:}{:4_103:} 速速搶樓,好禮等你拿??!{:4_101:}、活動(dòng)名稱:“研討會(huì)視頻點(diǎn)播”搶樓活動(dòng)二、活動(dòng)口號(hào): “看視頻聊感悟 送好禮”
2013-09-11 19:01:57

“看視頻 聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!

、活動(dòng)名稱:“研討會(huì)視頻點(diǎn)播”搶樓活動(dòng)二、活動(dòng)口號(hào): “看視頻聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!三、活動(dòng)時(shí)間: 第1輪:9月12日—9月27日四、活動(dòng)禮品:10元話費(fèi)(移動(dòng)、聯(lián)通、電信
2013-09-11 18:53:20

【AD新聞】新CEO訪華繪藍(lán)圖,7nm ACAP平臺(tái)要讓CPU/GPU難企及

的規(guī)劃者?!眰€(gè)人資料顯示,Victor Peng于2008年加入,在公司產(chǎn)品線和服務(wù)方面引領(lǐng)了行業(yè)領(lǐng)先的戰(zhàn)略和技術(shù)轉(zhuǎn)型,實(shí)現(xiàn)了從28nm,20nm到16nm連續(xù)三核心產(chǎn)品的領(lǐng)導(dǎo)地位,并在集成度
2018-03-23 14:31:40

【PYNQ-Z2申請(qǐng)】基于PYNQ-Z2平臺(tái)的圖像實(shí)時(shí)力學(xué)測(cè)量

項(xiàng)目名稱:基于PYNQ-Z2平臺(tái)的圖像實(shí)時(shí)力學(xué)測(cè)量試用計(jì)劃:申請(qǐng)理由本人在圖像輔助力學(xué)測(cè)量領(lǐng)域有三年的研究經(jīng)驗(yàn),曾設(shè)計(jì)過類似基于光學(xué)及圖像的微納力學(xué)傳感器,想借助發(fā)燒友論壇和
2019-01-09 14:49:25

為什么說已經(jīng)遠(yuǎn)遠(yuǎn)領(lǐng)先于Altera?

Altera和20年來都在FPGA這個(gè)窄眾市場(chǎng)激烈的競(jìng)爭(zhēng)者,然而Peter Larson基于對(duì)兩個(gè)公司現(xiàn)金流折現(xiàn)法的研究表明,是目前FPGA市場(chǎng)的絕對(duì)領(lǐng)先者。
2019-09-02 06:04:21

什么是豐富目標(biāo)設(shè)計(jì)平臺(tái)?

今年年初,率先在FPGA領(lǐng)域提出目標(biāo)設(shè)計(jì)平臺(tái)概念,旨在通過選用開放的標(biāo)準(zhǔn)、通用的開發(fā)流程以及類似的設(shè)計(jì)環(huán)境,減少通用工作對(duì)設(shè)計(jì)人員時(shí)間的占用,確保他們能集中精力從事創(chuàng)新性的開發(fā)工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎設(shè)計(jì)

MATLAB & Simulink Add-on插件是將 ModelComposer 和 System Generator forDSP完美結(jié)合的統(tǒng)工具。
2021-01-28 06:33:40

分享種不錯(cuò)的Xilinx Smarter Vision解決方案

分享種不錯(cuò)的Xilinx Smarter Vision解決方案
2021-06-03 06:22:39

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教
2015-08-07 08:58:08

回收Xilinx芯片 收購(gòu)芯片

回收Xilinx帶板芯片, 回收工廠XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顧Elecfans開放日之“跟安富利學(xué)FPGA的工業(yè)應(yīng)用“

Programmable技術(shù),助力智能工業(yè)系統(tǒng)”15:00-15:10 休息時(shí)間15:10-16:30 自由分享+主題討論16:30-17:00 結(jié)束【活動(dòng)獎(jiǎng)品】黑色雙肩包,圓珠筆,筆記本【活動(dòng)咨詢】活動(dòng)咨詢
2013-11-01 13:48:38

FPGA中使用ARM及AMBA總線

國(guó)外的融合技術(shù)專家展示了項(xiàng)基于FPGA的數(shù)據(jù)采集系統(tǒng),用于合成孔徑成像技術(shù)。采用了Xilinx ISE設(shè)計(jì)軟件,支持ARM AMBA AXI4接口。文風(fēng)犀利,觀點(diǎn)新穎,F(xiàn)PGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站運(yùn)動(dòng)控制

基于的FPGA的EtherCAT主站總線控制 ,論壇有做運(yùn)動(dòng)控制這方面的技術(shù)嗎?目前我已實(shí)現(xiàn)帶32軸同步運(yùn)行,同步抖動(dòng)±75ns,控制精度125us。感興趣的可以起探討下
2018-07-23 12:00:39

基于FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

計(jì)算集群。因此,亟需種能夠加速算法又不會(huì)顯著增加功耗的處理平臺(tái)。在這樣的背景下,F(xiàn)PGA 似乎是種理想的選擇,其固有特性有助于在低功耗條件下輕松啟動(dòng)眾多并行過程。讓我們來詳細(xì)了解下如何在
2019-06-19 07:24:41

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何利用28納米工藝加速平臺(tái)開發(fā)?

全球可編程邏輯解決方案領(lǐng)導(dǎo)廠商公司 (Xilinx Inc.) 宣布,為推進(jìn)可編程勢(shì)在必行之必然趨勢(shì),正對(duì)系統(tǒng)工程師在全球發(fā)布一代可編程FPGA平臺(tái)。和前代產(chǎn)品相比,全新的平臺(tái)功耗降低
2019-08-09 07:27:00

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?這種濾波器在軟件無線電與數(shù)據(jù)采集類應(yīng)用中都很常見。
2019-08-15 08:21:22

提交FPGA設(shè)計(jì)方案,贏取FPGA開發(fā)板

/activities/fpga/全程參與比賽還有更多獎(jiǎng)品等你來拿:等獎(jiǎng)獎(jiǎng)品:iPad2+Xilinx Spartan-6開發(fā)板 二等獎(jiǎng)獎(jiǎng)品:Xilinx Spartan-6開發(fā)板 三等獎(jiǎng)獎(jiǎng)品:電子發(fā)燒友T恤+FPGA雜志/其他小獎(jiǎng)品
2012-07-06 17:24:41

無法從Xilinx獲得5位數(shù)的RMA號(hào)碼

我在開了個(gè)RMA案例,案件已經(jīng)被Xilinx批準(zhǔn)。案件編號(hào):14072107。然后我被要求與經(jīng)銷商聯(lián)系,經(jīng)銷商告訴我他們無法處理它,直到我從Xilinx獲得RMA號(hào)碼。具有諷刺意味
2019-09-12 10:16:30

有沒有人從獲得有缺陷的BGA?

了空洞位于球的BGA側(cè),而不是PCB側(cè)。從同托盤檢查其他BGA設(shè)備顯示焊球有些斑駁的外觀,沒有預(yù)期的光澤和光滑。有沒有類似的經(jīng)歷?結(jié)果是你的CM的流程問題了嗎?有沒有人從獲得有缺陷的BGA?你們中有多少人做5DX排尿檢查?
2020-06-17 13:27:03

的開發(fā)環(huán)境ISE軟件下載地址

剛開始學(xué)的FPGA,求他的ISE軟件下載地址,我在網(wǎng)上沒搜到。謝謝了
2012-08-02 09:52:12

沒有xc6vsx315t功能版本2012.04可用

\ Xilinx.lic; C:/\ xilinx_ise.lic; C:\\ 14.1 \ ISE_DS \ ISE \ /數(shù)據(jù)\*名為.lic; C:\\ 14.1 \ ISE_DS
2018-12-13 10:35:12

海量干貨分享!XDF(開發(fā)者大會(huì))北京站各分論壇演講資料公布

2018年 XDF (開發(fā)者大會(huì))北京站的全部演講內(nèi)容現(xiàn)已開放,現(xiàn)整理供大家下載學(xué)習(xí),以下是本屆 XDF 的各分論壇演講題目與資料。云端分論壇收斂 IO 加速平臺(tái) - Xilinx
2019-01-03 15:19:42

玩轉(zhuǎn)FPGA xilinx)FPGA設(shè)計(jì)大賽圓滿結(jié)束

  電子發(fā)燒友網(wǎng)訊:由xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)旨在建立個(gè)FPGA技能展示和技術(shù)交流平臺(tái),鼓勵(lì)廣大參賽者發(fā)揮
2012-09-06 11:52:48

玩轉(zhuǎn)FPGA xilinx)FPGA設(shè)計(jì)大賽獲獎(jiǎng)名單?。?!

Spartan-6開發(fā)板  二等獎(jiǎng)獎(jiǎng)品: Xilinx Spartan-6開發(fā)板  三等獎(jiǎng)獎(jiǎng)品: 電子發(fā)燒友T恤+小禮品  大賽獲獎(jiǎng)名單    等獎(jiǎng)      姚佳毅(yjysdu)——車牌
2012-09-06 11:54:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽開賽啦

經(jīng)歷過和牛人起進(jìn)行FPGA設(shè)計(jì)比賽的激烈競(jìng)爭(zhēng)嗎?你感受過FPGA原廠開發(fā)板和fpga行業(yè)泰斗直接帶來的強(qiáng)烈震撼嗎? 沒經(jīng)歷過沒關(guān)系,電子發(fā)燒友網(wǎng)主辦,贊助的“FPGA方案開發(fā)設(shè)計(jì)大賽”已經(jīng)為
2012-04-23 09:31:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽活動(dòng)細(xì)則,參賽必看

設(shè)計(jì)水平。為電子工程師與業(yè)界專家進(jìn)行探討交流的機(jī)會(huì),提高對(duì)技術(shù)知識(shí)的應(yīng)用和產(chǎn)品商業(yè)化的認(rèn)知。為廣大電子工程師深入了解產(chǎn)品的機(jī)會(huì),利用FPGA器件,開發(fā)設(shè)計(jì)產(chǎn)品,進(jìn)步提高FPGA設(shè)計(jì)能力
2012-04-24 14:40:58

電子發(fā)燒友出品《可編程邏輯器件特刊》全球首發(fā) 免費(fèi)下載

應(yīng)用趨勢(shì)。本期精彩看點(diǎn):1、Smarter Networks點(diǎn)燃戰(zhàn)火 拓疆勢(shì)力版圖2、強(qiáng)攻SoC FPGA版圖 Altera力守FPGA江山3、基于DSP和FPGA汽車防撞報(bào)警設(shè)備高級(jí)數(shù)據(jù)采集4
2013-04-12 09:57:41

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

這顆是限制料還是翻新料?

絲印查不到系列型號(hào),引腳數(shù)量也對(duì)不上所有型號(hào)規(guī)格,也沒有韓國(guó)產(chǎn)地
2023-02-24 17:01:32

選擇(Xilinx)FPGA 7系列芯片的N個(gè)理由

  電子發(fā)燒友網(wǎng)訊:FPGA 7系列芯片正以燎原之勢(shì)席卷整個(gè)行業(yè)。在本文,電子發(fā)燒友網(wǎng)小編將帶領(lǐng)大家起走近Xilinx的FPGA 7系列芯片,從全新FPGA 7系列芯片的介紹、芯片優(yōu)點(diǎn)、芯片
2012-09-06 16:24:35

采用Xilinx FPGA加速機(jī)器學(xué)習(xí)應(yīng)用

全球領(lǐng)先的中文互聯(lián)網(wǎng)搜索引擎提供商百度正在采用FPGA加速其中國(guó)數(shù)據(jù)中心的機(jī)器學(xué)習(xí)應(yīng)用。兩家公司正合作進(jìn)步擴(kuò)大FPGA加速平臺(tái)的部署規(guī)模。新興應(yīng)用的快速發(fā)展正日漸加重計(jì)算工作的負(fù)載,數(shù)據(jù)中心
2016-12-15 17:15:52

采用FPGA實(shí)現(xiàn)DisplayPort詳細(xì)教程【內(nèi)部資料】

些芯片制造商已針對(duì)上述應(yīng)用推出了現(xiàn)成的標(biāo)準(zhǔn)發(fā)送器和接收機(jī),而推出了名為 Xilinx LogiCORETMDisplayPort v1.1(v1.2 將在 IDS 12.1中配套提供
2012-03-01 11:10:18

高價(jià)回收系列IC

高價(jià)回收系列IC長(zhǎng)期回收系列IC,高價(jià)求購(gòu)系列IC。深圳帝歐長(zhǎng)期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

:“玩轉(zhuǎn)FPGA xilinx)FPGA設(shè)計(jì)大賽”獲獎(jiǎng)獎(jiǎng)品展示

  電子發(fā)燒友網(wǎng)訊:由xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)獲獎(jiǎng)名單已經(jīng)公布,詳見:玩轉(zhuǎn)FPGA xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA現(xiàn)場(chǎng)可編程邏輯器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封裝240-PQFP批次08+數(shù)量3500濕氣敏感性等級(jí) (MSL)3(168 小時(shí))產(chǎn)品族嵌入式 - FPGA(現(xiàn)場(chǎng)可編程門陣列)系列Spartan?-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD對(duì)中國(guó)FPGA廠商有什么意義?

fpga
小凡發(fā)布于 2022-10-05 02:52:44

推進(jìn)Smarter系統(tǒng)解決方案,Xilinx再續(xù)輝煌

Xilinx公司亞太區(qū)銷售與市場(chǎng)副總裁楊飛將以Smarter Network為藍(lán)本,深入闡述Xilinx如何加持Smarter系統(tǒng)發(fā)展策略,凸顯強(qiáng)強(qiáng)聯(lián)合發(fā)展模式,締造全面領(lǐng)先優(yōu)勢(shì)
2013-07-04 08:53:421259

已全部加載完成