電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>業(yè)界新聞>行業(yè)新聞>AMD CFO:在65納米技術(shù)方面正在趕上英特爾

AMD CFO:在65納米技術(shù)方面正在趕上英特爾

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

英特爾五款優(yōu)秀的CPU介紹

盡管英特爾曾經(jīng)聲稱這是世界上第一個 16 位 CPU,但事實并非如此,事實上,英特爾正在追趕德州儀器 (Texas Instruments) 等公司,后者更早推出了 16 位芯片。
2024-03-18 10:19:4462

英特爾CFO稱將持續(xù)從臺積電采購,18A節(jié)點爭取少量代工訂單

辛斯納強調(diào),盡管當前不完全依賴臺積電,但英特爾與臺積電之間的合作關(guān)系并非僅限于競爭環(huán)境下。他解釋道,由于當前英特爾自身產(chǎn)能不足,故采取“智能資本”戰(zhàn)略來充分挖掘外包機會。
2024-03-18 10:19:3673

英特爾獲準向華為出售芯片,AMD抗議不公

據(jù)媒體報道,拜登政府長期以來承受著西方國家撤銷特朗普政府任期內(nèi)英特爾繼續(xù)供應華為許可的壓力。此前,盡管華為在全球筆記本電腦市場所占比例較小,但其市場占有率正在穩(wěn)步提升,其中英特爾供應的芯片被廣泛應用于制造筆記本電腦產(chǎn)品。
2024-03-18 09:30:3281

英特爾CFO承諾維持與臺積電合作,將在18A節(jié)點獲得少量代工訂單

據(jù)3月15日消息,在摩根士丹利TMT會上,英特爾CFO辛斯納透露,英特爾將繼續(xù)作為臺積電的客戶,希望能在18A節(jié)點獲得少量代工訂單。談及公司當前依賴外部代工廠的程度,辛斯納坦言比預想中的更甚。
2024-03-15 14:39:30318

蘋果M3芯片和英特爾芯片的差距

蘋果M3芯片和英特爾芯片在多個方面存在顯著差異。首先,M3芯片是蘋果自家研發(fā)的,采用了先進的制程技術(shù)和架構(gòu)設(shè)計,使其具有出色的計算性能和多任務處理能力。而英特爾芯片則以其廣泛的應用領(lǐng)域和穩(wěn)定的性能著稱。
2024-03-11 18:21:031214

m3芯片相當于英特爾幾代cpu m3芯片相當于英特爾什么顯卡

m3芯片相當于英特爾幾代cpu 關(guān)于m3芯片相當于英特爾幾代cpu的問題,實際上并沒有一個準確的答案,因為不同的芯片制造商與英特爾的CPU產(chǎn)品線在性能、架構(gòu)和用途等方面都存在一定的差異,因此很難進行
2024-03-11 18:13:171783

微軟正在與英偉達、AMD英特爾合作以改進PC游戲畫質(zhì)技術(shù)

微軟公布了一項新的Windows API,旨在為游戲開發(fā)者提供一種無縫的方式,讓他們能夠集成英偉達、AMD英特爾的超分辨率AI Up scaling功能。
2024-03-11 16:48:36345

蘋果M3芯片與英特爾芯片對比

蘋果M3芯片與英特爾芯片在多個方面存在顯著差異。首先,M3芯片是蘋果自家研發(fā)的,采用了先進的制程技術(shù)和架構(gòu)設(shè)計,具有出色的計算性能和多任務處理能力。而英特爾芯片則以其廣泛的應用領(lǐng)域和穩(wěn)定的性能著稱。
2024-03-08 16:12:54336

英特爾CEO稱公司全力押注18A制程

據(jù)悉,18A制程作為英特爾推動至技術(shù)領(lǐng)先地位的第五個階段,盡管未采用1.8納米制造工藝,但宣稱性能及晶體管密度均可與競爭對手的1.8納米工藝相媲美。
2024-03-01 16:14:47133

英特爾押注18A制程,力爭重回技術(shù)領(lǐng)先地位

據(jù)悉,18A 制程是英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱其性能與晶體管密度媲美友商的 1.8 nm制程。
2024-02-29 15:13:29139

英特爾:2025年全球AIPC將超1億臺占比20%

英特爾行業(yè)資訊
北京中科同志科技股份有限公司發(fā)布于 2024-02-29 09:15:26

英特爾1nm投產(chǎn)時間曝光!領(lǐng)先于臺積電

英特爾行業(yè)芯事
深圳市浮思特科技有限公司發(fā)布于 2024-02-28 16:28:32

Intel NUC專業(yè)機箱元件

Intel NUC專業(yè)機箱元件英特爾? NUC專業(yè)機箱元件是模塊化金屬機箱,設(shè)計用于容納和運行英特爾NUC計算元器件。該機殼產(chǎn)品有兩種設(shè)計選擇:用于大多數(shù)協(xié)作環(huán)境的基礎(chǔ)版本和用于需要更多I/O的視頻
2024-02-27 11:55:30

英特爾首推面向AI時代的系統(tǒng)級代工

、韌性和可持續(xù)性方面均處于領(lǐng)先地位。 ?英特爾代工宣布最新制程路線圖,包括Intel 14A制程技術(shù)、專業(yè)節(jié)點的演化版本,及全新的英特爾代工先進系統(tǒng)封裝及測試(Intel Foundry
2024-02-26 15:41:45146

英特爾拿下微軟芯片代工訂單

英特爾近日在美國圣荷西舉行的首次晶圓代工活動中公布了其雄心勃勃的制程延伸藍圖。該公司首席執(zhí)行官在會上表示,通過采用Intel 18A先進制程技術(shù),英特爾期望在2025年之前重新奪回制程技術(shù)的領(lǐng)先地位
2024-02-26 10:01:22204

英特爾再創(chuàng)輝煌!1.4nm芯片工藝領(lǐng)航微電子時代,工業(yè)界的新里程碑?

英特爾行業(yè)資訊
北京中科同志科技股份有限公司發(fā)布于 2024-02-26 08:58:21

英特爾首推面向AI時代的系統(tǒng)級代工—英特爾代工

英特爾首推面向AI時代的系統(tǒng)級代工——英特爾代工(Intel Foundry),在技術(shù)、韌性和可持續(xù)性方面均處于領(lǐng)先地位。
2024-02-25 10:38:39221

英特爾宣布推進1.4納米制程

,臺積電和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實現(xiàn)了5納米制程。然而,這一決定表明英特爾有意在制程技術(shù)領(lǐng)域迎頭趕上,計劃在未來幾年內(nèi)推出更為先進的1.4納米芯片。這一制程技術(shù)的推進將是英特爾為實現(xiàn)2025年之前進入2納米芯片生產(chǎn)
2024-02-23 11:23:04172

微軟將使用英特爾的18A技術(shù)生產(chǎn)芯片

微軟將使用英特爾的18A技術(shù)生產(chǎn)芯片 據(jù)外媒報道微軟公司計劃使用英特爾的18A制造技術(shù)生產(chǎn)自研芯片。但是目前沒有確切的消息表明微軟將生產(chǎn)什么芯片,但是業(yè)界多估計是人工智能加速器。
2024-02-22 17:35:11356

英特爾向競爭對手AMD等開放芯片制造

基辛格針對相關(guān)問題作出解答,說明英特爾的代工廠將應用其尖端技術(shù)為主導客戶打造各類芯片,同時全面提供自身全套的IP支持,包括杰出的封裝技能。他特別表示,期待AMD等各行業(yè)巨頭能成為英特爾的客戶伙伴。
2024-02-22 15:25:12138

英特爾登頂2023年全球半導體榜單之首

英特爾行業(yè)芯事
深圳市浮思特科技有限公司發(fā)布于 2024-02-01 11:55:16

英特爾NovaLake采用臺積電2納米

行業(yè)芯事行業(yè)資訊
深圳市浮思特科技有限公司發(fā)布于 2024-01-30 17:04:53

英特爾AMD處理器的區(qū)別和特點

英特爾AMD處理器的區(qū)別和特點 英特爾(Intel)和AMD是全球最著名的兩個處理器制造商。他們都提供高性能、可靠的芯片,為消費者和企業(yè)用戶提供強大的計算能力。然而,他們之間存在很多區(qū)別和特點
2024-01-30 14:28:331032

英特爾量產(chǎn)3D Foveros封裝技術(shù)

英特爾在封裝技術(shù)方面取得了重大突破,并已經(jīng)開始大規(guī)模生產(chǎn)基于3D Foveros技術(shù)的產(chǎn)品。這項技術(shù)使得英特爾能夠在單個封裝中整合多個小芯片(Chiplets),從而提高了芯片的性能、尺寸和設(shè)計靈活性。
2024-01-26 16:04:50231

英特爾實現(xiàn)3D先進封裝技術(shù)的大規(guī)模量產(chǎn)

是在英特爾最新完成升級的美國新墨西哥州Fab 9投產(chǎn)的。英特爾公司執(zhí)行副總裁兼首席全球運營官Keyvan Esfarjani表示:“先進封裝技術(shù)英特爾脫穎而出,幫助我們的客戶在芯片產(chǎn)品的性能、尺寸,以及設(shè)計應用的靈活性方面獲得競爭優(yōu)勢?!?這一里程
2024-01-25 14:24:34118

臺積電的1納米技術(shù)挑戰(zhàn)與成本壓力的博弈

1納米尺寸的芯片制造面臨著物理極限的挑戰(zhàn),可能導致晶體管的性能下降甚至失效。作為半導體行業(yè)的重要參與者之一,臺積電已經(jīng)宣布開始研發(fā)1納米工藝。
2024-01-22 14:18:31232

納米技術(shù)的特點 納米技術(shù)有哪些用途

納米技術(shù)是一種高度前沿的技術(shù),利用控制和操縱物質(zhì)的尺寸在納米級別來創(chuàng)造新的材料和應用。納米技術(shù)的特點主要包括以下幾個方面:高比表面積、尺寸效應、量子效應和可調(diào)控性。 首先,納米技術(shù)的一個重要特點是
2024-01-19 14:06:424309

納米納米復合傳感器的研究進展綜述

一維空心圓柱形碳納米納米結(jié)構(gòu)自被發(fā)現(xiàn)以來,在納米技術(shù)的發(fā)展中起著至關(guān)重要的作用。
2024-01-18 09:18:12464

英特爾酷睿14代處理器系列發(fā)布,Arrowlake/LunarLake24年問世

處理器英特爾
looger123發(fā)布于 2024-01-10 17:44:38

英特爾推出一家新的AI公司

英特爾高管沒有透露該安排的財務細節(jié),包括該交易的估值或英特爾在這家新企業(yè)中保留的多數(shù)股權(quán)。該組織將以獨立的董事會運作,英特爾將繼續(xù)作為股東。
2024-01-05 15:51:45624

英特爾的2023:以強大執(zhí)行力推進產(chǎn)品、技術(shù)創(chuàng)新

創(chuàng)新,取得了多項突破,并以強大的執(zhí)行力穩(wěn)步按照既定路線圖發(fā)布新產(chǎn)品,支持“芯經(jīng)濟”的蓬勃發(fā)展。 具體而言,2023年英特爾技術(shù)和產(chǎn)品方面主要取得了以下進展: 12月 英特爾推出新一代強大產(chǎn)品,加速推動AI在云邊端的工作負載中
2023-12-29 14:33:06197

聯(lián)電12納米技術(shù)英特爾,或成聯(lián)發(fā)科生產(chǎn)關(guān)鍵

據(jù)可靠消息來源透露,聯(lián)電已就12納米工藝授權(quán)與英特爾進行多輪接觸且近期將達成協(xié)議。主要原因在于聯(lián)電的12納米 ARM架構(gòu)技術(shù)和主攻 x86 架構(gòu)的英特爾形成了很好的互補效應,根據(jù)計劃,聯(lián)電將在今后一段時間內(nèi)收授高達數(shù)百億新臺幣的專利費。
2023-12-28 14:46:00197

英特爾希望在2024年超越其芯片制造競爭對手

過去五年來,英特爾在先進芯片制造方面一直落后于臺積電和三星?,F(xiàn)在,為了重新奪回領(lǐng)先地位,該公司正在采取大膽且冒險的舉措,在其臺式機和筆記本電腦Arrow Lake處理器中引入兩項新技術(shù),該處理器將于2024年末推出。英特爾希望憑借新的晶體管技術(shù)和首創(chuàng)的電力輸送系統(tǒng)超越競爭對手。
2023-12-25 14:50:38317

AI 無處不在,英特爾酷睿Ultra 和第五代英特爾至強可擴展處理器正式發(fā)布

今天,英特爾在北京舉辦以“AI無處不在,創(chuàng)芯無所不及”為主題的2023英特爾新品發(fā)布會暨AI 技術(shù)創(chuàng)新派對,攜手ISV、OEM、CSP產(chǎn)業(yè)伙伴在內(nèi)的AI生態(tài),共同見證了英特爾AI戰(zhàn)略的發(fā)布,以及
2023-12-16 16:05:03354

英特爾宣布完成PowerVia背面供電技術(shù)的開發(fā)

英特爾在2023年國際電子設(shè)備制造大會上宣布,他們已經(jīng)成功完成了一項名為PowerVia的背面供電技術(shù)的開發(fā)。這個技術(shù)是基于英特爾的最新晶體管研究成果,它實現(xiàn)了互補金屬氧化物半導體場效應晶體管
2023-12-11 16:10:42501

英特爾新處理器,掀AI PC戰(zhàn)火

隨著ai時代的到來,英特爾正在構(gòu)想新的酷睿Ultra處理器(代號Meteor Lake),這是英特爾的第一個基于npu的處理器,旨在在pc上應用ai加速和邊緣推理。meterlake采用Tile
2023-12-11 11:26:53467

臺積電3納米 明年5大客戶即將加入

法人方面指出,隨著英特爾擴大外包,雙方的合作將會更加緊密。英特爾的新一代低功耗架構(gòu)Lunar Lake MX(LNL)cpu單元將使用pc的n3b處理器。該項目長期在電腦內(nèi)部啟動,正在快速進行。Arrow Lake H/HX cpu將采用3納米工藝生產(chǎn),這將大大增加臺灣積壓生產(chǎn)能力。
2023-12-07 14:28:28265

2023?英特爾On技術(shù)創(chuàng)新大會中國站,相約12月19日!

轉(zhuǎn)型行動方案 英特爾宋繼強:智慧教育的加速密碼——要算力井噴,更要產(chǎn)學融合 2023中關(guān)村論壇系列活動——英特爾智能醫(yī)療健康創(chuàng)新合作論壇在京成功舉辦 原文標題:2023?英特爾On技術(shù)創(chuàng)新大會中國站,相約12月19日! 文章出處:【微信公眾號:
2023-12-01 20:40:02402

英特爾發(fā)布氣候轉(zhuǎn)型行動方案

近日,英特爾正式發(fā)布氣候轉(zhuǎn)型行動方案,詳細介紹了英特爾減少碳足跡的路徑。與本次方案同時發(fā)布的,還有來自英特爾CEO帕特·基辛格的一封信,信中詳細介紹了這份報告,并概述了英特爾對推進可持續(xù)的商業(yè)實踐
2023-11-24 20:00:02246

英特爾發(fā)布氣候轉(zhuǎn)型行動方案

英特爾正在為最大限度地減少環(huán)境足跡,提供驅(qū)動世界的芯片而努力。2022年,我很自豪地宣布英特爾的目標是在2040年實現(xiàn)全球溫室氣體排放零。今年,我們又將這一目標推進了一步,并承諾到2050年為止,在整個價值鏈中實現(xiàn)上游溫室氣體純排放。
2023-11-21 10:16:16290

高通與AMD、蘋果、英特爾推出Snapdragon X Elite

高通的Snapdragon X Elite專為運行Windows而設(shè)計,將在筆記本電腦方面AMD英特爾競爭。 在今年的驍龍峰會上,高通發(fā)布了其迄今為止最強大的PC處理器。專為運行 Windows
2023-11-14 15:30:56571

第二代英特爾?奔騰?M處理器產(chǎn)品手冊

采用90納米(nm)制程的英特爾?奔騰?M處理器使用優(yōu)化的微架構(gòu),以滿足當前和未來的高性能、低功耗的嵌入式計算技術(shù)的要求,使之成為大中型企業(yè)通信、交易終端機、互動終端和工業(yè)自動化應用的理想解決方案。該系列處理器結(jié)合先進處理器技術(shù),并與英特爾?微處理器系列的早期產(chǎn)品軟件兼容。
2023-11-14 14:41:530

AMD下一代芯片將采用臺積電3nm及三星4nm制程

有報道稱amd將利用4納米技術(shù)將部分生產(chǎn)轉(zhuǎn)移到三星,但具體交易規(guī)模尚未公開。新報道稱,amd可能會使用三星vender工廠測試三星vender或部分i/o芯片,但根據(jù)目前的報告,amd不可能在三星4納米內(nèi)生產(chǎn)主要ip。
2023-11-13 11:16:36457

英特爾CEO:“四年五個制程節(jié)點”進展正在得到第三方肯定

近日,英特爾公司首席執(zhí)行官帕特·基辛格表示,英特爾將按計劃或提前完成其“四年五個制程節(jié)點”計劃,英特爾在制程技術(shù)方面取得的進展正在得到第三方的充分肯定。 在2021年7月,英特爾公布了“四年五個制程
2023-11-10 17:48:09238

英特爾CEO基辛格:英特爾有三大敗戰(zhàn)!

另外,機型還對英特爾在2010年取消Larrabee的計劃表示不滿,因為Larrabee原本是一款早期的通用GPU。然而,就基辛格上一次退出英特爾公司后,該計劃就被砍掉了。
2023-11-08 16:14:59306

英特爾亮相進博會,展示數(shù)實融合“芯”成果

2023年11月6日,上?!诹鶎弥袊鴩H進口博覽會(簡稱“進博會”)正在上海舉行。今年,英特爾在展臺展示了推進摩爾定律的最新成果,以及與生態(tài)伙伴共同打造的在智能制造、智慧醫(yī)療、智能座艙、綠色
2023-11-07 09:18:10343

英特爾不應該擔心英偉達Arm架構(gòu)的PC芯片?恰恰相反

arm芯片制造企業(yè)此次向英特爾amd施壓,誰能保證不會重蹈覆轍。也有人主張歷史是反復的。arm pc并不是新的威脅。蘋果的m芯片早在三年前就上市了,它不僅可以與英特爾amd的處理器相媲美,而且通常更加高效。
2023-10-31 10:06:56236

#高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋果、英特爾的芯片王朝

高通英特爾蘋果
深圳市浮思特科技有限公司發(fā)布于 2023-10-27 16:46:07

首次采用EUV技術(shù)英特爾宣布Intel 4已大規(guī)模量產(chǎn)

 據(jù)英特爾中國透露,極紫外光刻技術(shù)正在驅(qū)動著算力主導著ai、先進移動網(wǎng)絡(luò)、自動駕駛及新數(shù)據(jù)中心和云應用軟件等計算需求最高的應用軟件。另外,該技術(shù)將對英特爾到2025年為止的4年時間里完成5個工程節(jié)點,重新找回公正領(lǐng)導能力起到重要作用。
2023-10-16 10:08:32492

AMD的Phoenix SoC核心技術(shù)詳解

AMD的移動和小型化之路曾一度艱辛。早在2010年代初期,英特爾在能效方面取得了巨大的進步,而AMD的基于Bulldozer的CPU核心在這方面沒有機會。
2023-10-07 10:37:05401

探訪英特爾CPU封裝工廠內(nèi)部

英特爾和臺積電正在競爭提供最先進封裝技術(shù),而英特爾的馬來西亞設(shè)施在其努力擴大Meteor Lake生產(chǎn)方面發(fā)揮著關(guān)鍵作用,這是一系列采用突破性生產(chǎn)技術(shù)的消費者CPU。到目前為止,這些設(shè)施一直被保密,而這個面紗在我們的參觀中籠罩得很濃。
2023-09-28 17:22:202364

英特爾先進封裝的玻璃基板技術(shù)解析

有機基板的材料主要由類似 PCB 的材料和編織玻璃層壓板制成,允許通過芯片路由相當多的信號,包括基本的小芯片設(shè)計,例如英特爾的移動處理器(具有單獨的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 處理器。
2023-09-28 11:29:121099

2023英特爾on技術(shù)創(chuàng)新大會:英特爾研究院展示多項技術(shù)“魔法”

英國著名科幻小說家阿瑟·克拉克(《2001:太空漫游》)有言:“任何先進的技術(shù),初看都與魔法無異。”在英特爾這家巨大的半導體公司的內(nèi)部,有一批人正在專注于此,即用新穎的方法,在廣泛的前沿研究領(lǐng)域
2023-09-26 17:25:58268

英特爾CEO帕特·基辛格闡述“芯經(jīng)濟”概念,AI正在推動其蓬勃發(fā)展

“AI正在催生全球增長的新時代,在新時代中,算力起著更為重要的作用,讓所有人迎來更美好的未來”,9月19日,在2023英特爾on技術(shù)創(chuàng)新大會的主題演講中,英特爾公司首席執(zhí)行官帕特·基辛格(Pat
2023-09-26 17:24:24691

英特爾研究院副總裁、英特爾中國研究院院長宋繼強:英特爾技術(shù)為全行業(yè)帶來卓越貢獻

5G以及Thunderbolt的技術(shù)架構(gòu)貢獻方面的資料,以及這些技術(shù)對行業(yè)產(chǎn)生何種影響的時候,英特爾研究院副總裁、英特爾中國研究院院長宋繼強是這樣理解的。 英特爾積極參與行業(yè)5G全球標準工作,技術(shù)被業(yè)內(nèi)廣泛采用 英特爾研究院協(xié)同標準
2023-09-26 14:06:41289

一圖讀懂英特爾云原生開源技術(shù)

作為KubeCon China 2023 大會的鉆石贊助商,9月26日-28日,英特爾在現(xiàn)場會有一個大的技術(shù)展示廳,其中包含10個現(xiàn)場展示,涵蓋云原生基礎(chǔ)設(shè)施,安全,人工智能以及可持續(xù)計算等。 歡迎
2023-09-23 10:10:08345

英特爾發(fā)布全球首款基于UCIe連接的Chiplet(小芯片)處理器

英特爾基于Chiplet的處理器,如Sapphire Rapids和新發(fā)布的Meteor Lake,目前使用專有接口和協(xié)議進行Chiplet之間的通信,但英特爾已宣布將在其下一代Arrow Lake消費級處理器之后使用UCIe接口。AMD和英偉達也在致力于自己的計劃,但還沒有展示可用的硅芯片。
2023-09-22 16:05:12432

英特爾on技術(shù)創(chuàng)新大會:加速AI和安全的融合

基于開放、選擇、信任和安全,英特爾提出了一種“軟件定義、芯片增強”的方法。 新聞亮點 ·?英特爾全面啟動一項全新驗證服務,并將其作為英特爾? Trust Authority的部分功能。該項驗證服務
2023-09-21 16:33:35214

2023英特爾on技術(shù)創(chuàng)新大會:助力開發(fā)者,讓AI無處不在

AI促進了“芯經(jīng)濟”的崛起,一個由芯片和軟件推動的全球增長新時代。 新聞亮點: ·?英特爾明確表示其“四年五個制程節(jié)點”計劃正在穩(wěn)步推進當中,并展示了其首個基于通用芯粒高速互連開放規(guī)范(UCIe
2023-09-20 16:46:25222

同樣是大小核,英特爾、AMD和Arm玩法有何不同?

可處理 512 位寬度數(shù)據(jù)的 AVX-512 指令集變成英特爾的燙手山竽。 所以即使英特爾小核從 Alderlake 搭載的 Gracemont 效能有重大突破,相同功耗時,單核單線程性能比
2023-09-18 10:03:00394

與騰訊全方位合作,英特爾做了這些

一起,一個猛子扎進樂隊的夏天 2023服貿(mào)會丨一起云逛展,看英特爾如何助力數(shù)實融合 既蓋“四合院”,也建“摩天樓”,英特爾先進封裝技術(shù)解析 原文標題:與騰訊全方位合作,英特爾做了這些 文章出處:【微信公眾號:英特爾中國】歡迎添加關(guān)注!
2023-09-15 19:35:07336

2023服貿(mào)會丨一起云逛展,看英特爾如何助力數(shù)實融合

英特爾公司,英特爾、英特爾logo及其它英特爾標識,是英特爾公司或其分支機構(gòu)的商標。文中涉及的其它名稱及品牌屬于各自所有者資產(chǎn)。 原文標題:2023服貿(mào)會丨一起云逛展,看英特爾如何助力數(shù)實融合 文章出處:【微信公眾號:英特爾中國】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
2023-09-09 13:15:02386

英特爾Agilex FPGA的優(yōu)勢和特性

英特爾推出全新英特爾 Agilex 7 FPGA,以支持在英特爾 DevCloud 中運行 oneAPI 基礎(chǔ)工具套件(基礎(chǔ)套件)工作負載,使您能夠利用基于全新英特爾 FPGA 的高性能與低功耗計算解決方案。
2023-09-08 09:09:53605

英特爾和新思科技深化合作,提供基于英特爾先進制程節(jié)點的領(lǐng)先IP

新聞亮點 · ? 該多代合作協(xié)議將進一步推動英特爾IDM 2.0戰(zhàn)略的發(fā)展; ·? 通過擴大合作伙伴關(guān)系和加快提供IP的速度,該合作將支持英特爾代工服務生態(tài)的發(fā)展; ·? 該合作建立在新思科
2023-08-26 10:20:01435

酷睿輕薄本也能運行大語言模型,英特爾推動 PC 生成式 AI 落地

展示了他們在 AIGC 上的技術(shù)方向,并進行了多個應用的演示。 首先,英特爾介紹了他們在大語言模型方面的優(yōu)化和支持。在我們傳統(tǒng)的認知里,運行類似 ChatGPT 這種大語言模型必須要有大顯存的顯卡支持。但這場交流會卻顛覆了我們的認知。英特爾為了讓 12、13代酷睿平臺
2023-08-25 09:26:13689

英特爾開始加碼封裝領(lǐng)域

在積極推進先進制程研發(fā)的同時,英特爾正在加大先進封裝領(lǐng)域的投入。在這個背景下,該公司正在馬來西亞檳城興建一座全新的封裝廠,以加強其在2.5D/3D封裝布局領(lǐng)域的實力。據(jù)了解,英特爾計劃到2025年前
2023-08-24 15:57:32245

英特爾加入PyTorch基金會,通過PyTorch*推動AI普及

與創(chuàng)新,在加速AI發(fā)展方面發(fā)揮關(guān)鍵作用。加入PyTorch基金會,進一步彰顯了英特爾致力于通過技術(shù)支持并培育其生態(tài)系統(tǒng)以加速機器學習框架的演進。 英特爾自2018年起為PyTorch提供支持,旨在通過豐富的硬件和開放的軟件推動AI普及。英特爾正在不斷推進PyTorch并拓展生態(tài),以
2023-08-19 11:15:05317

英特爾銳炫顯卡DX11性能更新,并推出全新英特爾PresentMon?Beta

英特爾銳炫正式推出DirectX 11驅(qū)動更新,為PC游戲玩家?guī)砀鼜妱判阅埽瑫r發(fā)布全新工具幫助發(fā)燒友和游戲社區(qū)更好地衡量和評估系統(tǒng)性能。準備好一起進入極客世界吧! 去年英特爾銳炫臺式機產(chǎn)品發(fā)布
2023-08-19 11:10:01397

OpenVINO工具套件是否可以商業(yè)化使用?

參閱 英特爾? OpenVINO?分銷許可第 2.1 節(jié)(2021 年 5 月版本)。 無法了解英特爾? 發(fā)行版 OpenVINO? 工具套件是否可以商業(yè)化使用。
2023-08-15 08:19:20

安裝OpenVINO工具套件英特爾Distribution時出現(xiàn)錯誤的原因?

安裝OpenVINO?工具套件英特爾 Distribution時,出現(xiàn)錯誤: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

使用OpenVINO trade 2021版運行Face_recognition_demo時報錯怎么解決?

importing ie_api 推斷 face_recognition_demo 與 OpenVINO? 2021 版本和 英特爾? 神經(jīng)電腦棒 2 (英特爾? NCS2) 插件丟點錯
2023-08-15 06:20:01

RISC-V快速發(fā)展的處理器生態(tài)系統(tǒng)中找到立足點

provides a structure to move computing into the future. 英特爾AMD的芯片正在達到其物理極限,RISC-V的靈活性提供了一種將計算推向未來的結(jié)構(gòu)
2023-08-11 18:20:57

Arm Forge 22.1.3版用戶指南

。 Arm Forge支持許多并行體系結(jié)構(gòu)和模型,包括MPI、CUDA和OpenMP。 Arm Forge是一款跨平臺工具,支持最新的編譯器和C++標準,以及英特爾、64位Arm、AMD
2023-08-10 06:29:21

通過引入工作負載整合來創(chuàng)建有效且可擴展的物聯(lián)網(wǎng)基礎(chǔ)設(shè)施

英特爾和Minsait-IT正在合作建立邊緣統(tǒng)一服務框架。
2023-08-04 06:45:12

英特爾媒體加速器參考軟件Linux版用戶指南

英特爾媒體加速器參考軟件是用于數(shù)字標志、交互式白板(IWBs)和亭位使用模型的參考媒體播放器應用軟件,它利用固定功能硬件加速來提高媒體流速、改進工作量平衡和資源利用,以及定制的圖形處理股(GPU)管道解決方案。該用戶指南將介紹和解釋如何為Linux* 使用英特爾媒體加速器參考軟件。
2023-08-04 06:34:54

臺積電迎新勁敵,Rapidus橫空殺出欲搶2納米客戶

8月2日消息,據(jù)臺媒報道,臺積電2納米制程勁敵不只大家熟知的三星、英特爾,后面還有追兵,日本芯片國家隊Rapidus也計劃于2027年量產(chǎn)2納米芯片,搶臺積電客戶。 值得關(guān)注的是,英特爾上周財報會議
2023-08-02 11:39:00440

如何在英特爾? 平臺上實現(xiàn)高效的大語言模型訓練后量化

本文介紹了可提升大語言模型的訓練后量化表現(xiàn)的增強型 SmoothQuant 技術(shù),說明了這項技術(shù)的用法,并證明了其在準確率方面的優(yōu)勢。此方法已整合至 英特爾 ?Neural Compressor
2023-07-14 20:10:06517

英特爾內(nèi)部代工模式的最新進展

近日,英特爾執(zhí)行副總裁兼首席財務官David Zinsner和英特爾公司副總裁兼企業(yè)規(guī)劃事業(yè)部總經(jīng)理Jason Grebe介紹了英特爾的內(nèi)部代工模式及其諸多優(yōu)勢。 英特爾正在擁抱其成立55年以來
2023-06-30 19:55:03188

英特爾正在研發(fā)玻璃材質(zhì)的芯片基板

據(jù)外媒EE Times報道,英特爾正在研發(fā)玻璃材質(zhì)的芯片基板,以解決目前有機材質(zhì)基板用于芯片封裝存在的問題。 英特爾裝配和測試主管Pooya Tadayon表示,玻璃的硬度優(yōu)于有機材質(zhì),并且熱膨脹
2023-06-30 11:30:07701

【機器視覺】歡創(chuàng)播報 | 英特爾宣布重大重組計劃

1 英特爾宣布重大重組計劃 近日,英特爾對外宣布,晶圓代工業(yè)務將成為獨立部門。在一場線上分析師會議上,英特爾表示正在調(diào)整企業(yè)結(jié)構(gòu),計劃明年第一季將把晶圓代工事業(yè)(IFS)獨立運作,在財報單獨列出損益
2023-06-29 14:07:10288

英特爾銳炫Pro圖形顯卡上新!

英特爾推出兩款全新英特爾銳炫Pro圖形顯卡;搭載英特爾銳炫Pro A40圖形顯卡的系統(tǒng)現(xiàn)已出貨。 全新發(fā)布: 英特爾今日宣布英特爾銳炫??Pro A系列專業(yè)級圖形顯卡新增兩款產(chǎn)品——英特爾
2023-06-21 13:10:18421

英特爾銳炫:實力之作,悄然已至!

發(fā)布之初,英特爾銳炫A770和A750顯卡就已展現(xiàn)出不錯的實力。幾個月過去,它們已然成長為同價位最好的顯卡之一。AMD和英偉達微弱的代際改進,再加上較高的價格,讓用戶很難在1500至2500元左右
2023-06-20 11:56:32388

AMD CEO蘇姿豐如何帶領(lǐng)AMD起死回生

在分享蘇姿豐如何帶領(lǐng)AMD起死回生,甚至超車頭號競爭對手英特爾的故事之前,先來聊聊她的成長故事。
2023-06-19 15:37:071869

一起云逛展,帶你感受英特爾開源前沿技術(shù)的魅力!

? ? ? 原文標題:一起云逛展,帶你感受英特爾開源前沿技術(shù)的魅力! 文章出處:【微信公眾號:英特爾中國】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
2023-06-17 10:20:02322

英特爾酷睿品牌重大升級煥新!

近日,英特爾宣布了酷睿品牌的重大升級煥新,將其拆分為針對旗艦級的全新英特爾 ? 酷睿 Ultra(該品牌在中國正式使用的名稱將隨后分享),以及針對主流級產(chǎn)品的英特爾 酷睿 處理器品牌。即將推出
2023-06-17 10:15:01414

英特爾要投資Arm?

英特爾首席執(zhí)行官帕特·基辛格 (Pat Gelsinger) 推動公司重回半導體行業(yè)巔峰的努力的一個關(guān)鍵部分是一項向其他公司甚至競爭對手開放其工廠的計劃。如果他要在外包生產(chǎn)方面成功地與臺積電競爭,英特爾就必須生產(chǎn)包含 Arm 廣泛使用的技術(shù)的芯片。
2023-06-14 14:28:34309

英特爾AMD的前30年競爭

在 1971 年 11 月,英特爾推出了第一款商用微處理器——英特爾 4004。這款 4 位芯片取代了之前的六款芯片。4004 最初是為計算器制造的,它設(shè)定了邏輯微處理器的基本原則:獲取指令、執(zhí)行它們,然后存儲結(jié)果。
2023-06-12 16:29:57486

英特爾銳炫Pro圖形顯卡上新!

英特爾推出兩款全新英特爾銳炫Pro圖形顯卡;搭載英特爾銳炫Pro A40圖形顯卡的系統(tǒng)現(xiàn)已出貨。 全新發(fā)布: 英特爾今日宣布英特爾銳炫 Pro A系列專業(yè)級圖形顯卡新增兩款產(chǎn)品——英特爾銳炫 Pro
2023-06-09 20:30:02445

英特爾如何玩轉(zhuǎn)Chiplet?

英特爾最近的 DCAI 網(wǎng)絡(luò)研討會上,公司執(zhí)行副總裁 Sandra Rivera 透露了英特爾第五代至強可擴展處理器 Emerald Rapids 的外觀。
2023-06-02 16:54:21395

光刻技術(shù)再次升級了

中國在半導體芯片制造方面仍落后于美國。早在上世紀60年代,美國就開始占據(jù)世界半導體市場的絕對主導地位。隨著技術(shù)的不斷發(fā)展,半導體芯片的制造越來越精細化。從最初的65納米工程到現(xiàn)在的7納米技術(shù)開發(fā),美國一直處于領(lǐng)先地位。
2023-06-01 10:12:43583

銳意進取,炫力出彩!英特爾持續(xù)耕耘銳炫顯卡

高宇和多位技術(shù)專家分享了英特爾致力于耕耘客戶端顯卡的決心,并展示了英特爾正如何攜手合作伙伴不斷釋放銳炫顯卡在游戲體驗、內(nèi)容創(chuàng)作等方面的潛能。 ? 英特爾公司中國區(qū)技術(shù)部總經(jīng)理高宇表示:“數(shù)十年來,英特爾一直致力于推動?
2023-05-30 09:58:53257

英特爾Agilex7 R-tile正在批量交付

英特爾可編程解決方案事業(yè)部今日宣布,符合量產(chǎn)要求的英特爾Agilex7 R-tile正在批量交付。該設(shè)備是首款具備PCIe 5.0和CXL功能的FPGA,同時這款FPGA亦是唯一一款擁有支持上述接口所需的硬化知識產(chǎn)權(quán)(IP)的產(chǎn)品。
2023-05-25 10:06:31298

芯片行業(yè),何時走出至暗時刻?

是市場需求持續(xù)疲軟所致,IDC估計,全球PC出貨量2023年第一季度下降了近30%;另一方面則是處理器市場變局持續(xù)發(fā)酵,蘋果轉(zhuǎn)用自家芯片、AMD奮起直追,讓從前該領(lǐng)域一家獨大的英特爾遭受了沖擊。 另一方面
2023-05-06 18:31:29

英特爾和ARM合作 基于英特爾18A工藝進行設(shè)計技術(shù)協(xié)同優(yōu)化

英特爾和Arm達成了一項合作協(xié)議,英特爾代工服務(Intel Foundry Services)和Arm將會進行設(shè)計技術(shù)協(xié)同優(yōu)化,這意味著讓芯片設(shè)計者能夠基于英特爾18A制程打造低功耗的SoC
2023-04-19 14:31:23913

英特爾落戶海南三亞

來源:海南商務官微 日前,英特爾公司在海南三亞注冊成立英特爾集成電路(海南)有限公司。2023年4月8日,英特爾三亞辦公室開業(yè)儀式在三亞中央商務區(qū)成功舉辦,標志著英特爾海南業(yè)務啟動運作。海南省商務廳
2023-04-11 17:44:38900

英特爾宋繼強:面向半導體“萬億時代”,以全棧創(chuàng)新推動算力發(fā)展

日”上,英特爾研究院副總裁、英特爾中國研究院院長宋繼強發(fā)表了題為“智·變 拓·界”的主題演講,分享了英特爾中國研究院對數(shù)字化時代半導體行業(yè)技術(shù)創(chuàng)新的思考,及在諸多前沿技術(shù)領(lǐng)域的最新進展。 中國數(shù)字經(jīng)濟正在“量質(zhì)齊升
2023-04-04 10:15:56332

河套IT WALK(總第26期):英特爾發(fā)144核CPU、人工智能危機

的CPU,名為“Sapphire Rapids”。這款CPU是針對高性能計算(HPC)和人工智能(AI)領(lǐng)域的服務器市場而設(shè)計的,它將采用10納米工藝制造,支持PCIe 5.0和DDR5內(nèi)存等新技術(shù)。然而,這款CPU可能不會面向普通消費者市場,而是只提供給英特爾的合作伙伴和客戶,如美國國
2023-04-01 01:30:04519

英特爾前GPU主管離職,留下的是新機遇還是爛攤子

IFS領(lǐng)導層的變動以外,另外一大新聞莫過于英特爾前GPU主管Raja Koduri的離職了。 ? 從 AMD 、蘋果到英特爾,以及未來去向 ? Koduri可以說是業(yè)內(nèi)知名的GPU老將了,他曾在AMD
2023-03-29 01:09:001628

已全部加載完成