電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于CPLD芯片MAX7000AE實(shí)現(xiàn)TDI/CCD驅(qū)動(dòng)時(shí)序的設(shè)計(jì)

基于CPLD芯片MAX7000AE實(shí)現(xiàn)TDI/CCD驅(qū)動(dòng)時(shí)序的設(shè)計(jì)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

ALTERA常用主流芯片和配置芯片介紹

ALTERA常用主流芯片和配置芯片介紹,MAX7000S/AE, MAX3000A:5v/3.3vEEPOM工藝PLD,是ALTERA公司銷量最大的產(chǎn)品
2011-12-05 17:42:1110093

基于FPGA的線陣CCD驅(qū)動(dòng)時(shí)序電路設(shè)計(jì)

電荷耦合器CCD具有尺寸小、精度高、功耗低、壽命長(zhǎng)、測(cè)量精度高等優(yōu)點(diǎn),在圖像傳感和非接觸測(cè)量領(lǐng)域得到了廣泛應(yīng)用。由于CCD芯片的轉(zhuǎn)換效率、信噪比等光電特性只有在合適的時(shí)序
2012-02-29 11:32:449765

基于FPGA與的VHDL語(yǔ)言驅(qū)動(dòng)時(shí)序發(fā)生器與數(shù)據(jù)緩存器的一體化設(shè)計(jì)

本文在分析了 Sarnoff公司的 VCCD512H型幀轉(zhuǎn)移面陣 CCD芯片的特性和工作過(guò)程后,結(jié)合整個(gè) CCD相機(jī)電子系統(tǒng)的要求,完成了基于 FPGA技術(shù)的驅(qū)動(dòng)時(shí)序發(fā)生器與數(shù)據(jù)緩存器的一體化
2019-01-04 07:55:003794

15份CCD驅(qū)動(dòng)的文獻(xiàn)資料合集(基于FPGA、CPLD設(shè)計(jì)與實(shí)現(xiàn)

的面陣CCD驅(qū)動(dòng)時(shí)序發(fā)生器設(shè)計(jì),基于CPLD的面陣CCD驅(qū)動(dòng)時(shí)序發(fā)生器設(shè)計(jì)及其硬件實(shí)現(xiàn),基于CPLD的線陣CCD驅(qū)動(dòng)電路的設(shè)計(jì),基于CPLD的線陣CCD驅(qū)動(dòng)電路設(shè)計(jì)與實(shí)現(xiàn),基于CPLD的線陣CCD驅(qū)動(dòng)
2019-06-03 16:45:25

CCD信號(hào)處理器AD9949助我完成畢業(yè)設(shè)計(jì)

信號(hào)SHD、SHP,這兩個(gè)信號(hào)的起始位置關(guān)系到CDS的輸出,另外兩個(gè)時(shí)鐘是 CLPOB、PBLK;同時(shí)它還為CCD提供行驅(qū)動(dòng)時(shí)序H1、H2和復(fù)位RG,H1、H2我采用的是默認(rèn)設(shè)置值,RG我把下降沿延長(zhǎng)
2018-11-02 09:33:14

TDI-CCD圖像傳感器

  TDI(Time Delayed and Integration)CCD(即時(shí)間延遲積分CCD)是近幾年發(fā)展起來(lái)的一種新型光電傳感器。TDI-CCD是基于對(duì)同一目標(biāo)多次曝光,通過(guò)延遲積分的方法
2018-11-15 15:07:01

FPGA與CPLD怎么區(qū)分

、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。將以查表法結(jié)構(gòu)方式構(gòu)成邏輯行為的器件稱為FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K
2019-07-01 07:36:55

JTAG協(xié)議如何用于編程CPLD

大家好...一世我正在使用XC95144xl CPLD從事系統(tǒng)內(nèi)編程項(xiàng)目。一世想知道JTAG協(xié)議如何用于編程CPLD。我的意思是四個(gè)信號(hào)tck,tdi,tdo和tms如何實(shí)現(xiàn)CPLD編程”。除了
2019-01-15 10:08:00

[推薦]FPGA/CPLD開(kāi)飯?zhí)准?b class="flag-6" style="color: red">芯片

XILINX(spartan,virtex系列等)altrea(max,cyclone系列等)cpld/fpga芯片,全型號(hào)開(kāi)發(fā)板及開(kāi)發(fā)套件。并可提供ADI,TI的DSP,FREESCALE單片機(jī)等
2009-06-19 14:25:08

CPLDMAX V 5M160ZE64燒錄問(wèn)題

各位大俠,小弟CPLD/FPGA新手,遇到燒錄不保存問(wèn)題,詳細(xì)描述如下:芯片型號(hào):Altera MAX V 5M160ZE64Quartus版本:Quartus II 14.1問(wèn)題描述:1
2015-07-21 10:40:23

【下載】《從零開(kāi)始學(xué)CPLD和Verilog HDL編程技術(shù)》

、采用查找表的FPGA的工作原理第三節(jié) Altera系列CPLD介紹一、MAX7000系列器件簡(jiǎn)介二、MAX7000系列器件的結(jié)構(gòu)三、MAX7000系列器件功能描述第四節(jié) Xilinx系列CPLD介紹······下載鏈接:`
2018-03-30 15:07:50

分析一款不錯(cuò)的基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)

分析一款不錯(cuò)的基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)
2021-04-29 07:09:48

基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)

了基于可編程邏輯器件(CPLD)技術(shù),將CCD驅(qū)動(dòng)電路集成在一塊芯片上,實(shí)現(xiàn)CCD圖像傳感器的驅(qū)動(dòng)電路,并且結(jié)合Ahera公司的EPM7160SLC84-10完成了硬件電路的設(shè)計(jì)?! ? 全幀型CCD驅(qū)動(dòng)
2018-11-15 14:54:53

基于PCI總線的CPLD實(shí)現(xiàn)

獨(dú)立的配置空間,可實(shí)現(xiàn)即插即用。這些優(yōu)點(diǎn)使得PCI總線在數(shù)據(jù)采集、嵌入式系統(tǒng)和測(cè)控等領(lǐng)域得到廣泛應(yīng)用。實(shí)現(xiàn)PCI總線協(xié)議目前主要有專用接口芯片CPLD實(shí)現(xiàn)兩種方式。專用接口芯片使用簡(jiǎn)單方便、工作穩(wěn)定
2019-05-29 05:00:02

如何去實(shí)現(xiàn)一種CCD視頻信號(hào)處理電路的設(shè)計(jì)?

本文介紹了一種采用專用CCD視頻信號(hào)處理芯片CPLD技術(shù)來(lái)設(shè)計(jì)的CCD視頻信號(hào)處理電路,并采用USB接口技術(shù)實(shí)現(xiàn)數(shù)據(jù)傳輸。
2021-06-04 07:14:43

如何設(shè)計(jì)CCD的硬件驅(qū)動(dòng)電路?

CCD驅(qū)動(dòng)電路的實(shí)現(xiàn)CCD應(yīng)用技術(shù)的關(guān)鍵問(wèn)題。以往大多是采用普通數(shù)字芯片實(shí)現(xiàn)驅(qū)動(dòng)電路,CCD外圍電路復(fù)雜,為了克服以上方法的缺點(diǎn),利用VHDL硬件描述語(yǔ)言.運(yùn)用FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路的實(shí)現(xiàn)
2019-10-21 06:05:17

如何采用CPLD實(shí)現(xiàn)數(shù)字控制PWM信號(hào)?

直流電動(dòng)機(jī)的PWM控制原理是什么?如何采用CPLD實(shí)現(xiàn)數(shù)字控制PWM信號(hào)?如何利用CPLD技術(shù)實(shí)現(xiàn)了邏輯和時(shí)序的控制?
2021-05-07 06:03:34

應(yīng)用CPLD及EPP技術(shù)對(duì)CCD信號(hào)像素級(jí)的高速采集,不看肯定后悔

EPP技術(shù)和CPLD技術(shù)介紹應(yīng)用CPLD及EPP技術(shù)對(duì)CCD信號(hào)像素級(jí)的高速采集
2021-04-08 06:11:10

怎么實(shí)現(xiàn)基于DSP芯片CPLD的剎車控制系統(tǒng)設(shè)計(jì)?

本文在硬件電路設(shè)計(jì)上采用DSP 芯片和外圍電路構(gòu)成速度捕獲電路,電機(jī)驅(qū)動(dòng)控制器采用微控制芯片和外圍電路構(gòu)成了電流采樣、過(guò)流保護(hù)、壓力調(diào)節(jié)等電路,利用CPLD實(shí)現(xiàn)無(wú)刷直流電機(jī)的轉(zhuǎn)子位置信號(hào)的邏輯換相
2021-05-12 06:44:08

怎么利用CPLD數(shù)字控制技術(shù)對(duì)時(shí)序電路進(jìn)行改進(jìn)

動(dòng)態(tài)重構(gòu)其邏輯功能等特點(diǎn)。利用CPLD芯片和數(shù)字控制技術(shù)設(shè)計(jì)的時(shí)序電路,可將時(shí)序控制的精度提高到納秒級(jí),并且工作穩(wěn)定,不受溫度的影響,有利于系統(tǒng)定位精度的提高。
2021-05-06 09:44:24

求一種基于FPGA的線陣CCD驅(qū)動(dòng)時(shí)序及模擬信號(hào)處理的設(shè)計(jì)

CD 1501D CCD工作參數(shù)及時(shí)序分析基于FPGA的線陣CCD驅(qū)動(dòng)時(shí)序及模擬信號(hào)處理的設(shè)計(jì)
2021-04-22 06:13:19

求推薦一款比較低端的類似9923A的CCD專用驅(qū)動(dòng)芯片

在以前CCD驅(qū)動(dòng)電路的設(shè)計(jì)中采用AD9923A,由于CCD驅(qū)動(dòng)時(shí)序比較簡(jiǎn)單,而9923A寄存器配置相當(dāng)復(fù)雜?,F(xiàn)在要重新設(shè)計(jì)一種CCD驅(qū)動(dòng)電路,并且CCD驅(qū)動(dòng)時(shí)序非常簡(jiǎn)單,所以想選擇一款低端的專用ADC,能否推薦一款比較低端的類似9923A的CCD專用驅(qū)動(dòng)芯片。
2018-11-29 09:14:32

求教CPLD中的延時(shí)怎樣處理

CPLD編程,使用MAX7000系列芯片,需要延時(shí)功能,延時(shí)時(shí)間1秒到幾分鐘,并可以外部進(jìn)行調(diào)整延時(shí)時(shí)間,不知怎么處理,請(qǐng)教高手幫助!多謝!
2010-01-16 10:59:27

菜鳥尋求幫助 cpld 實(shí)現(xiàn)時(shí)序調(diào)整

我想用CPLD實(shí)現(xiàn)兩個(gè)芯片時(shí)序匹配,CPLD的最小可調(diào)時(shí)序周期怎么確定?調(diào)整的過(guò)程是不是經(jīng)過(guò)一次就延時(shí)一次?謝謝各位。
2012-08-22 20:19:25

行間轉(zhuǎn)移型面陣CCD圖像采集系統(tǒng)的研究

輸出。在輸出端得到與光學(xué)圖像對(duì)應(yīng)的一行行的視頻信號(hào)?! ?.3 基于CPLDCCD時(shí)序發(fā)生器的實(shí)現(xiàn)  面陣CCD器件應(yīng)用最重要的環(huán)節(jié)是驅(qū)動(dòng)電路的設(shè)計(jì)與實(shí)現(xiàn)。CCD芯片所對(duì)應(yīng)的原廠生產(chǎn)的專用驅(qū)動(dòng)芯片雖然
2018-11-15 16:27:46

請(qǐng)教CPLD下載

會(huì)對(duì)電路的實(shí)現(xiàn)產(chǎn)生何種影響?是否可器件有關(guān)系?問(wèn)題2,請(qǐng)問(wèn)如圖一所示的試驗(yàn)電路,在MAX7000S及MAX3000A系列的CPLD中是否可以如此使用?如果不可以請(qǐng)問(wèn)原因是什么?問(wèn)題3,此電路下載
2011-11-22 09:22:54

請(qǐng)問(wèn)如何設(shè)計(jì)CCD驅(qū)動(dòng)時(shí)序的電平轉(zhuǎn)換,是否有此類的電平轉(zhuǎn)化芯片?

本帖最后由 一只耳朵怪 于 2018-6-19 09:41 編輯 利用CPLD設(shè)計(jì)了一個(gè)CCD驅(qū)動(dòng)時(shí)序,CPLD輸出的電平高低是0~3.3V,但是CCD要求是-8~6V,想問(wèn)一下如何設(shè)計(jì)電平轉(zhuǎn)化電路,是否有此類的電平轉(zhuǎn)化芯片?不勝感激?。。?/div>
2018-06-14 13:25:02

過(guò)壓保護(hù)控制芯片MAX4987AE相關(guān)資料下載

過(guò)壓保護(hù)控制芯片MAX4987AE資料下載內(nèi)容主要介紹了:MAX4987AE引腳功能MAX4987AE內(nèi)部方框圖MAX4987AE典型應(yīng)用電路
2021-03-30 07:02:53

高速EM CCD圖像傳感器CCD97時(shí)序驅(qū)動(dòng)電路的設(shè)計(jì)方法

產(chǎn)生方法, 結(jié)構(gòu)簡(jiǎn)單、明確, 調(diào)試容易, 缺點(diǎn)是結(jié)構(gòu)尺寸太大, 對(duì)于實(shí)現(xiàn)復(fù)雜的驅(qū)動(dòng)時(shí)序有較大困難。 ?。?4) 專用IC 驅(qū)動(dòng)方法。這種方法就是利用CCD專用IC 來(lái)產(chǎn)生時(shí)序, 集成度高, 功能強(qiáng)
2018-11-13 11:13:20

高速數(shù)據(jù)采集系統(tǒng)的硬件結(jié)構(gòu),CPLD在高速數(shù)據(jù)采集系統(tǒng)中的應(yīng)用

高速數(shù)據(jù)采集系統(tǒng)的硬件結(jié)構(gòu)MAX7000系列CPLD及其開(kāi)發(fā)平臺(tái)介紹CPLD在高速數(shù)據(jù)采集系統(tǒng)中的應(yīng)用
2021-04-08 06:11:56

麻煩推薦一款A(yù)D,用來(lái)處理濱松的一款TDI CCD

麻煩推薦一款A(yù)D,用來(lái)處理濱松的一款TDI CCD,不勝感激!
2018-08-02 06:39:02

CPLD 實(shí)現(xiàn)單片機(jī)與ISA 總線并行通信

用ALTERA 公司MAX7000 系列CPLD 芯片實(shí)現(xiàn)單片機(jī)與PC104 ISA 總線接口之間的并行通信,給出系統(tǒng)設(shè)計(jì)方法及程序源代碼。包括通信軟件和AHDL 設(shè)計(jì)部分。
2009-04-14 17:36:0729

CPLD 實(shí)現(xiàn)單片機(jī)與ISA 總線并行通信

用ALTERA 公司MAX7000 系列CPLD 芯片實(shí)現(xiàn)單片機(jī)與PC104 ISA 總線接口之間的并行通信,給出系統(tǒng)設(shè)計(jì)方法及程序源代碼。包括通信軟件和AHDL 設(shè)計(jì)部分。
2009-05-14 13:24:3914

用于天文觀測(cè)的CCD 相機(jī)系統(tǒng)研究

詳細(xì)介紹紫金山天文臺(tái)紅外實(shí)驗(yàn)室開(kāi)發(fā)的CCD 相機(jī)系統(tǒng)的軟硬件設(shè)計(jì)。根據(jù)柯達(dá)CCD 芯片KAF-0401LE 的時(shí)序要求, 用復(fù)雜可編程邏輯器件(CPLD)實(shí)現(xiàn)CCD時(shí)序;采用相關(guān)雙采樣技術(shù)降低
2009-05-14 16:07:4636

Max7000系列可編程器件在DSP系統(tǒng)設(shè)計(jì)中的應(yīng)用

本文介紹了 MAX7000 系列CPLD 器件在DSP 接口電路中的應(yīng)用,重點(diǎn)介紹了TMS3202××系列DSP 和存儲(chǔ)器,LCD 接口的方法,并輔以VHDL 源程序的例子。關(guān)鍵詞:DSP;MAX7000;CPLD;可編程
2009-06-29 08:57:0343

TDI-CCD圖像傳感器電荷包總轉(zhuǎn)移效率分析

針對(duì)TDI-CCD圖像傳感器中電荷包的轉(zhuǎn)移損失問(wèn)題,嚴(yán)格而詳細(xì)地分析了TDI-CCD圖像傳感器中電荷包轉(zhuǎn)移過(guò)程,建立了TDI-CCD圖像傳感器的電荷包總轉(zhuǎn)移效率的數(shù)字模型。
2009-07-17 09:11:4920

基于CPLD和DSP的線陣CC 檢測(cè)系統(tǒng)的設(shè)計(jì)

基于CPLD 和DSP 設(shè)計(jì)了線陣CCD 檢測(cè)系統(tǒng),CCD時(shí)序驅(qū)動(dòng)CPLD 實(shí)現(xiàn),經(jīng)過(guò)運(yùn)放后的視頻信號(hào)由TMS320F2812 進(jìn)行采集和處理,此檢測(cè)系統(tǒng)已成功應(yīng)用于醫(yī)藥包裝行業(yè)的數(shù)粒機(jī)系統(tǒng),能夠可
2009-08-13 14:53:4622

基于FPGA的行間轉(zhuǎn)移面陣CCD驅(qū)動(dòng)電路設(shè)計(jì)

針對(duì)Kodak 公司的前照明行間轉(zhuǎn)移型面陣CCD KAI-0340,對(duì)其驅(qū)動(dòng)要求進(jìn)行詳細(xì)的分析,設(shè)計(jì)滿足CCD 所需偏置電壓的供電模塊;搭建CCD 時(shí)序脈沖驅(qū)動(dòng)器電路;利用Xilinx 公司的可編程邏
2009-12-26 16:50:2033

CCD時(shí)序電路與數(shù)據(jù)緩存器的一體化設(shè)計(jì)

在分析了Sarnoff 公司的VCCD512H 面陣型CCD 圖像傳感器驅(qū)動(dòng)時(shí)序關(guān)系的基礎(chǔ)上,結(jié)合某CCD 相機(jī)電子系統(tǒng)的總體要求,完成了基于FPGA 驅(qū)動(dòng)時(shí)序發(fā)生器與數(shù)據(jù)緩存器的一體化設(shè)計(jì)。選用X
2010-01-06 15:23:1236

基于FPGA 的TDI-CCD 時(shí)序電路的設(shè)計(jì)

為解決TDI-CCD 作為遙感相機(jī)的圖像傳感器在使用中所面臨的時(shí)序電路設(shè)計(jì)問(wèn)題,文中較為詳細(xì)地介紹了TDI-CCD 的結(jié)構(gòu)和工作原理,并根據(jù)工程項(xiàng)目所使用的ILE2TDI-CCD 的特性,設(shè)
2010-01-12 09:54:5021

基于CPLD的五相步進(jìn)電機(jī)驅(qū)動(dòng)器設(shè)計(jì)

基于CPLD的五相步進(jìn)電機(jī)驅(qū)動(dòng)器設(shè)計(jì):介紹了一種基于CPLD 實(shí)現(xiàn)五相步進(jìn)電機(jī)的驅(qū)動(dòng)器的設(shè)計(jì)方案,可實(shí)現(xiàn)全步、半步控制。該方案具有體積小、成本低、功耗低和可靠性高等優(yōu)點(diǎn)。
2010-01-14 18:42:35101

基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)

基于CPLD 的全幀型CCD 圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)劉凱波 曹劍中 王華偉 崔 巍來(lái)源:EDN China摘要: DALSA 公司的FTF4052M 22M Full-Frame 型CCD 是一款全幀型CCD 圖像傳感器。這里在分
2010-02-05 08:28:3548

基于CPLDCCD驅(qū)動(dòng)電路自動(dòng)增益調(diào)整

摘要本文介紹了一種基于CPLD并具有自動(dòng)增益調(diào)整功能的CCD驅(qū)動(dòng)電路。CCD輸出的模擬電壓值與其曝光時(shí)間有著密切的關(guān)系,根據(jù)這一原理,對(duì)CCD輸出的模擬電壓值進(jìn)行A/D轉(zhuǎn)換,由CPLD
2010-04-29 08:58:3139

基于CPLD的線陣CCD驅(qū)動(dòng)實(shí)現(xiàn)

摘 要:本文以日本東芝公司的線陣CCD器件TCD1206SUP為例,在研究了線陣CCD器件工作原理和驅(qū)動(dòng)電路波形的基礎(chǔ)上,介紹了采用圖形式層次設(shè)計(jì)方法,用復(fù)雜可編程邏輯器件(CPLD)設(shè)計(jì)線陣CCD
2010-07-15 13:42:3068

基于CPLD的混合邏輯乘法器的設(shè)計(jì)

本文介紹了混合邏輯乘法器的設(shè)計(jì)實(shí)例,采用Altera公司的MAX7000AE系列的芯片MAX+PLUSII開(kāi)發(fā)系統(tǒng)實(shí)現(xiàn),并給出VHDL的源程序及時(shí)序仿真波形。
2010-08-06 17:12:5536

基于DSP和CPLD電能質(zhì)量監(jiān)測(cè)裝置的設(shè)計(jì)

設(shè)計(jì)了一種基于DSP+CPLD構(gòu)架的電能質(zhì)量監(jiān)測(cè)裝置,該裝置利用CPLD產(chǎn)生DSP外圍器件的控制時(shí)序,丈中詳細(xì)介紹了CPLD對(duì)DSP外圍器件的邏輯接口設(shè)計(jì),通過(guò)MAX+PLUSII對(duì)CPLD的控制時(shí)序進(jìn)行
2010-08-26 16:06:2031

用單片機(jī)驅(qū)動(dòng)線陣CCD的探討

摘要:本文就彌補(bǔ)以往用單片機(jī)產(chǎn)生線陣CCD驅(qū)動(dòng)時(shí)序的不足進(jìn)行了探討;給出了使用AVR單片機(jī)產(chǎn)生驅(qū)動(dòng)TCD1206線陣CCD的具體實(shí)例及相應(yīng)程序。其定時(shí)關(guān)系精確,驅(qū)動(dòng)頻率達(dá)到推薦的速率
2010-09-14 21:30:44109

高分辨率全幀CCD芯片FTF4027M的驅(qū)動(dòng)電路設(shè)計(jì)

介紹了高分辨率全幀CCD芯片FTF4027M的內(nèi)部結(jié)構(gòu)和驅(qū)動(dòng)時(shí)序,利用CCD專用的集成芯片設(shè)計(jì)了該CCD芯片驅(qū)動(dòng)電路,其中包括驅(qū)動(dòng)程序設(shè)置和所需偏置電壓。實(shí)驗(yàn)結(jié)果表明:該驅(qū)動(dòng)電路功
2010-11-13 17:33:220

CPLD實(shí)現(xiàn)單片機(jī)與ISA總線并行通信

摘要:用ALTERA公司MAX7000系列CPLD芯片實(shí)現(xiàn)單片機(jī)與PC104 ISA總線接口之間的并行通信,給出系統(tǒng)設(shè)計(jì)方法及程序源代碼。包括通信軟件和AHDL設(shè)計(jì)部分。CPLD(Complex Programmable Logi
2006-05-26 21:52:11872

換體DMA高速數(shù)據(jù)采集電路的CPLD實(shí)現(xiàn)

換體DMA高速數(shù)據(jù)采集電路的CPLD實(shí)現(xiàn) 介紹了換體DMA高速數(shù)據(jù)采集電路原理及其CPLD實(shí)現(xiàn)。用CPLD設(shè)計(jì)雙端口RAM緩存、控制譯碼、時(shí)序邏輯電路,很好地解決了電路元件所占體積
2009-03-28 15:09:18666

基于VHDL的XRD44L60驅(qū)動(dòng)時(shí)序設(shè)計(jì)

基于VHDL的XRD44L60驅(qū)動(dòng)時(shí)序設(shè)計(jì) 電荷耦合器件(Charge Coupled Devices,簡(jiǎn)稱CCD)是一種光電轉(zhuǎn)換式圖像傳感器。它利用光電轉(zhuǎn)換原理將圖像信息直接轉(zhuǎn)換成電信號(hào),實(shí)現(xiàn)非電量
2009-05-13 11:15:32887

CPLD實(shí)現(xiàn)單片機(jī)與ISA總線并行通信

摘要:用ALTERA公司MAX7000系列CPLD芯片實(shí)現(xiàn)單片機(jī)與PC104 ISA總線接口之間的并行通信,給出系統(tǒng)設(shè)計(jì)方法及程序源代碼。包括通信軟件和AHDL設(shè)計(jì)部分。 關(guān)鍵詞:CPLD
2009-06-20 13:34:281116

基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)

基于CPLD的全幀型CCD圖像傳感器驅(qū)動(dòng)系統(tǒng)設(shè)計(jì) 電荷耦合器件((2harge Coupled Devices,CCD)是20世紀(jì)70年代初發(fā)展起來(lái)的新型半導(dǎo)體集成光電器件。作為一種新型的MOS器件,與普
2009-10-25 10:16:08635

基于CPLDCCD驅(qū)動(dòng)電路自動(dòng)增益調(diào)整

基于CPLDCCD驅(qū)動(dòng)電路自動(dòng)增益調(diào)整 ccd(charge couple device)是一種電荷藕合式光電轉(zhuǎn)換器件。在物體位移測(cè)量系統(tǒng)中,常常以ccd作為位移傳感器。當(dāng)一
2009-12-14 14:04:39750

CPLD設(shè)計(jì)的CCD信號(hào)發(fā)生器技術(shù)

本文設(shè)計(jì)了一種基于CPLD的可編程高精度CCD信號(hào)發(fā)生器。充分利用CPLD的可編程性.模擬出滿足系統(tǒng)要求的CD信號(hào),輸出信號(hào)頻率
2010-06-19 11:14:45757

基于FPGA-SPARTAN芯片CCD的硬件驅(qū)動(dòng)電路設(shè)計(jì)

  CCD驅(qū)動(dòng)電路的實(shí)現(xiàn)CCD應(yīng)用技術(shù)的關(guān)鍵問(wèn)題。以往大多是采用普通數(shù)字芯片實(shí)現(xiàn)驅(qū)動(dòng)電路,CCD外圍電路復(fù)雜,為了克服以上方法的缺點(diǎn),利用VHDL硬件描述語(yǔ)言.運(yùn)用FPGA技術(shù)完
2010-08-30 09:58:191289

基于DSP和FPGA的CCD圖像采集系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

捅要:為了實(shí)現(xiàn)是彈武器瞄準(zhǔn)自動(dòng)化,本文設(shè)計(jì)了基于DSP和FPGA的高速高精確度雙通道CCD圖像采集系統(tǒng),采用QUartuBn在AJtera的FPGA器件CYCLONEII上設(shè)計(jì)了CCD驅(qū)動(dòng)時(shí)序電路,采用PsPICE設(shè)計(jì)了可以
2011-02-25 13:48:05187

線陣CCD高精度測(cè)隙裝置的研制

設(shè)計(jì)了一種以 線陣CCD 作為光電傳感器的測(cè)隙裝置。首先設(shè)計(jì)了測(cè)隙裝置的總體方案,分析了方案的可行性;其次以CPLD驅(qū)動(dòng)利用Verlog-HDL語(yǔ)言設(shè)計(jì)了線陣CCD的工作時(shí)序,在對(duì)輸出信號(hào)分
2011-08-10 16:41:5844

CPLD實(shí)現(xiàn)線陣CCD驅(qū)動(dòng)電路

采用MAXⅡ器件的EPM240T100C5N為控制核心,以TCD1500C為例,設(shè)計(jì)了基于CPLD的線陣CCD驅(qū)動(dòng)電路,完成了硬件電路的原理圖的設(shè)計(jì),并實(shí)現(xiàn)了軟件調(diào)試。通過(guò)QuartusⅡ軟件平臺(tái),對(duì)其進(jìn)行了模擬仿真。實(shí)
2011-11-03 15:24:40129

高分辨率CCD芯片FTF4052M的驅(qū)動(dòng)系統(tǒng)設(shè)計(jì)

CCD 芯片驅(qū)動(dòng)系統(tǒng)是數(shù)字航測(cè)相機(jī)的核心部分,它關(guān)系到整個(gè)相機(jī)的性能和技術(shù)指標(biāo)。介紹了高分辨率全幀CCD 芯片FTF4052M 的內(nèi)部結(jié)構(gòu)和驅(qū)動(dòng)時(shí)序,采用集成芯片設(shè)計(jì)了該CCD 芯片驅(qū)動(dòng)
2011-11-04 16:54:3874

線陣CCD驅(qū)動(dòng)時(shí)序及模擬信號(hào)處理的設(shè)計(jì)

為保證線陣CCD在圖像測(cè)量中正常、穩(wěn)定工作.必須設(shè)計(jì)出適合其工作的時(shí)序驅(qū)動(dòng)電路。在分析TCDl501D線陣CCD驅(qū)動(dòng)時(shí)序關(guān)系的基礎(chǔ)上,通過(guò)分析CCD輸出的圖像信號(hào)1,給出了內(nèi)、外相關(guān)雙采
2011-11-07 15:08:43148

基于FPGA的高幀頻面陣CCD驅(qū)動(dòng)控制設(shè)計(jì)

針對(duì)面陣CCD KAI-1020 在高幀頻工作模式下的驅(qū)動(dòng)要求,以FPGA 作為控制單元及時(shí)序發(fā)生器,完成CCD 高幀頻工作模式下的硬件及軟件設(shè)計(jì),仿真驗(yàn)證了驅(qū)動(dòng)時(shí)序的正確性,完成了硬件電路的調(diào)試
2017-11-18 13:07:012181

采用FPGA設(shè)計(jì)科學(xué)級(jí)CCD相機(jī)時(shí)序發(fā)生器

科學(xué)級(jí)CCD相機(jī)一般由高速CCD感光芯片、視頻信號(hào)處理器、時(shí)序控制器、時(shí)序發(fā)生器、時(shí)序驅(qū)動(dòng)器、外部光學(xué)成像系統(tǒng)等部分組成,本文分析了IL-E2型TDI-CCD 芯片的工作過(guò)程和對(duì)驅(qū)動(dòng)信號(hào)的要求
2017-11-24 14:24:451982

利用VHDL硬件描述語(yǔ)言和FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路的實(shí)現(xiàn)

CCD驅(qū)動(dòng) 電路的實(shí)現(xiàn)CCD應(yīng)用技術(shù)的關(guān)鍵問(wèn)題。以往大多是采用普通數(shù)字芯片實(shí)現(xiàn)驅(qū)動(dòng)電路,CCD外圍電路復(fù)雜,為了克服以上方法的缺點(diǎn),利用VHDL硬件描述語(yǔ)言.運(yùn)用FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路的實(shí)現(xiàn)
2017-11-24 18:55:511523

基于CPLD的線陣CCD驅(qū)動(dòng)方法

工作要求的CCD驅(qū)動(dòng)方法才能保證測(cè)量結(jié)果準(zhǔn)確。 在驅(qū)動(dòng)CCD時(shí)要解決的兩個(gè)主要問(wèn)題分別是驅(qū)動(dòng)時(shí)序的產(chǎn)生和輸出信號(hào)的采集處理。在驅(qū)動(dòng)時(shí)序產(chǎn)生方面,以往的研究大多只以成功驅(qū)動(dòng)CCD為目的,因此掃描頻率不高,一般采用器件的典型頻
2018-01-24 14:12:430

基于FPGA的轉(zhuǎn)移型面陣CCD驅(qū)動(dòng)電路設(shè)計(jì)

在分析了SONY ICX415AL行間轉(zhuǎn)移型面陣CCD驅(qū)動(dòng)時(shí)序的基礎(chǔ)之上,提出了基于FPGA的驅(qū)動(dòng)時(shí)序發(fā)生器的設(shè)計(jì)方案,并使用VHDL語(yǔ)言實(shí)現(xiàn)了該設(shè)計(jì)方案。整個(gè)設(shè)計(jì)充分結(jié)合了FPGA器件的設(shè)計(jì)簡(jiǎn)單
2018-05-22 10:21:002851

采用ispLSI器件設(shè)計(jì)CCD時(shí)序發(fā)生器并進(jìn)行仿真驗(yàn)證

CCD驅(qū)動(dòng)電路的設(shè)計(jì)是CCD應(yīng)用的關(guān)鍵問(wèn)題之一。由于不同廠家生產(chǎn)的CCD驅(qū)動(dòng)時(shí)序不盡相同,同一廠家不同型號(hào)的CCD驅(qū)動(dòng)時(shí)序也不完全一樣,因此CCD用戶必須面對(duì)驅(qū)動(dòng)電路的設(shè)計(jì)問(wèn)題。以往采用不同功能的數(shù)字芯片搭成的驅(qū)動(dòng)電路,調(diào)試?yán)щy,靈活性較差。
2019-06-04 08:03:002306

使用TDI CCD芯片的電性模擬器可進(jìn)行驅(qū)動(dòng)時(shí)序檢測(cè)

TDI CCD能在不犧牲空間分辨率和工作速度的情況下獲得高靈敏度,這個(gè)突出特點(diǎn)使其 在高速、微光領(lǐng)域具有廣泛的應(yīng)用前景。在科研任務(wù)中,新型TDI CCD器件研制時(shí)間過(guò)長(zhǎng)、 供貨不及時(shí)等原因會(huì)嚴(yán)重影響科研生產(chǎn)任務(wù)的進(jìn)度。
2019-05-06 08:22:002776

基于FPGA器件的CCD傳感器驅(qū)動(dòng)電路的方案設(shè)計(jì)

本文主要介紹CCD傳感器驅(qū)動(dòng)電路的設(shè)計(jì),包括驅(qū)動(dòng)時(shí)序產(chǎn)生電路、電源變換電路和驅(qū)動(dòng)器電路。其中,驅(qū)動(dòng)時(shí)序產(chǎn)生電路向CCD傳感器提供正常工作所需要的各種時(shí)序脈沖;電源變換電路向CCD提供正常工作時(shí)所需的各種直流偏置電壓;驅(qū)動(dòng)器電路用來(lái)提高驅(qū)動(dòng)時(shí)序驅(qū)動(dòng)能力。
2018-12-30 09:47:007943

如何使用Verilog-HDL做CPLD設(shè)計(jì)的時(shí)序邏輯電路的實(shí)現(xiàn)

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用Verilog-HDL做CPLD設(shè)計(jì)的時(shí)序邏輯電路的實(shí)現(xiàn)。
2018-12-12 16:25:468

基于CPLDCCD相機(jī)圖像信號(hào)模擬器的設(shè)計(jì)

關(guān)鍵詞:CCD , CPLD , 相機(jī)圖像 , 信號(hào)模擬器 1 引言 多年來(lái)CCD 器件以體積小、重量輕、功耗小、工作電壓低和抗燒毀等優(yōu)點(diǎn)以及在分辨率、動(dòng)態(tài)范圍、靈敏度、實(shí)時(shí)傳輸、自掃描等特性
2019-02-12 09:01:01385

基于TDI-CCD的利用FPGA實(shí)現(xiàn)CCD圖像數(shù)據(jù)整合功能的硬件系統(tǒng)設(shè)計(jì)

CCD是一種廣泛應(yīng)用于成像系統(tǒng)中的光學(xué)傳感器,TDI-CCD利用延時(shí)積分的方法,通過(guò)對(duì)同一物體多次曝光,實(shí)現(xiàn)增強(qiáng)型光能采集的目的。同時(shí),根據(jù)像移補(bǔ)償?shù)乃俣?,設(shè)計(jì)TDI-CCD的電荷轉(zhuǎn)移速率,能夠實(shí)現(xiàn)
2019-03-13 09:29:521751

15份描述CCD驅(qū)動(dòng)的文獻(xiàn)資料合集免費(fèi)下載

的面陣CCD驅(qū)動(dòng)時(shí)序發(fā)生器設(shè)計(jì),基于CPLD的面陣CCD驅(qū)動(dòng)時(shí)序發(fā)生器設(shè)計(jì)及其硬件實(shí)現(xiàn),基于CPLD的線陣CCD驅(qū)動(dòng)電路的設(shè)計(jì),基于CPLD的線陣CCD驅(qū)動(dòng)電路設(shè)計(jì)與實(shí)現(xiàn),基于CPLD的線陣CCD驅(qū)動(dòng)
2019-05-16 08:00:0016

如何使用CPLD進(jìn)行PAL制式時(shí)序信號(hào)發(fā)生與合成的詳細(xì)方案資料說(shuō)明

主要講述了PAL制視頻時(shí)序信號(hào)的產(chǎn)生過(guò)程和PAL制彩色電視信號(hào)的合成方法,并以此為例介紹了一種低成本視頻時(shí)序信號(hào)發(fā)生器的實(shí)現(xiàn)方案。文中討論的視頻時(shí)序信號(hào)發(fā)生器以Altera公司MAX II系列的CPLD為核心,只要根據(jù)需要修改CPLD中的信號(hào)形成程序,便可應(yīng)用于其他非廣播電視標(biāo)準(zhǔn)的視頻系統(tǒng)當(dāng)中
2019-05-24 14:14:2932

基于CPLD驅(qū)動(dòng)電路實(shí)現(xiàn)線陣CCD驅(qū)動(dòng)設(shè)計(jì)

線陣CCD一般不能直接在測(cè)量裝置中使用,因此CCD驅(qū)動(dòng)信號(hào)的產(chǎn)生及輸出信號(hào)的處理是設(shè)計(jì)高精度、高可靠性和高性價(jià)比線陣CCD驅(qū)動(dòng)模塊的關(guān)鍵。
2019-09-18 15:43:432746

使用FPGA實(shí)現(xiàn)線陣CCD驅(qū)動(dòng)時(shí)序及模擬信號(hào)處理的設(shè)計(jì)說(shuō)明

為保證線陣CCD在圖像測(cè)量中正常、穩(wěn)定工作,必須設(shè)計(jì)出適合其工作的時(shí)序驅(qū)動(dòng)電路。在分析TCD1501D 線陣CCD驅(qū)動(dòng)時(shí)序關(guān)系的基礎(chǔ)上 ,通過(guò)分析CCD輸出的圖像信號(hào)[1],給出了內(nèi)、外相關(guān)雙采樣
2019-11-21 16:58:2513

使用FPGA設(shè)計(jì)CCD驅(qū)動(dòng)傳輸電路的資料說(shuō)明

設(shè)計(jì)出高幀頻的成像系統(tǒng),以及能否實(shí)現(xiàn)兩個(gè)CCD相機(jī)的同步采集。CCD工業(yè)相機(jī)的關(guān)鍵技術(shù)在于CCD驅(qū)動(dòng)傳輸電路的設(shè)計(jì),為了在實(shí)踐中解決這兩個(gè)問(wèn)題,本文對(duì)CCD芯片驅(qū)動(dòng)脈沖和時(shí)序關(guān)系進(jìn)行了詳細(xì)的分析,設(shè)計(jì)出了基于FPGA的CCD驅(qū)動(dòng)傳輸電路。
2019-11-26 15:35:1521

CCD驅(qū)動(dòng)電路的4種常用方式介紹和使用單片機(jī)設(shè)計(jì)CCD驅(qū)動(dòng)電路的說(shuō)明

介紹了CCD驅(qū)動(dòng)電路的4種常用方式及其優(yōu)缺點(diǎn),詳細(xì)闡述了基于高速超微型單片機(jī)C8051F300的CCD驅(qū)動(dòng)電路設(shè)計(jì),包括內(nèi)部CCD驅(qū)動(dòng)時(shí)序和外部輸出同步信號(hào)的產(chǎn)生、像素輸出電壓的簡(jiǎn)單處理以及通過(guò)RS232接口在線調(diào)整CCD驅(qū)動(dòng)頻率等。系統(tǒng)克服了目前單片機(jī)方式在CCD驅(qū)動(dòng)應(yīng)用中存在的一些缺點(diǎn)。
2019-11-26 16:58:1928

如何使用線陣CCD實(shí)現(xiàn)圖像采集詳細(xì)論文說(shuō)明

介紹了基于CPLD的線陣CCD數(shù)據(jù)采集系統(tǒng)的硬、軟件構(gòu)成,工作原理及設(shè)計(jì)方案。采用TOSHIBA公司近年來(lái)推出的高速線陣CCD芯片TCD1209D,針對(duì)其驅(qū)動(dòng)時(shí)序的要求,選用XILINX公司的復(fù)雜
2019-11-28 16:23:0019

CCD的工作原理和幾種產(chǎn)生CCD驅(qū)動(dòng)時(shí)序方法

本文在介紹了CCO工作原理、分析了CCD輸出信號(hào)中混有的芥種噪聲的基礎(chǔ)上,提出幾種產(chǎn)生CCD驅(qū)動(dòng)時(shí)序方法,重點(diǎn)介紹了選用FGPA(現(xiàn)場(chǎng)可編程邏輯門陣列)來(lái)作為時(shí)序發(fā)生器的優(yōu)點(diǎn),并講解了采用原理圖
2019-12-06 15:36:0021

如何分析高分辨力TDI-CCD遙感相機(jī)的特性

首先簡(jiǎn)要陳述了提高線陣CCD遙感相機(jī)地面像元分辨力的幾種有效途徑,接著分析它們對(duì)相機(jī)靈敏度特性的影響。為了解決相機(jī)分辨力與靈敏度之間的矛盾,對(duì)幾種高靈敏度CCD進(jìn)行簡(jiǎn)要分析,其中重點(diǎn)對(duì)TDI
2020-04-20 17:59:0022

TDI-CCD的工作原理

?TDI-CCD的工作原理與普通線陣CCD的工作原理有所不同,它要求行掃速率與目標(biāo)的運(yùn)動(dòng)速率嚴(yán)格同步,否則就不能正確的提取目標(biāo)的圖像信息。當(dāng)應(yīng)用TDI-CCD對(duì)運(yùn)動(dòng)目標(biāo)成像時(shí),與其他視頻掃描方法相比具有一系列優(yōu)點(diǎn),其中包括靈敏度高、動(dòng)態(tài)范圍大等。
2020-08-28 14:40:5617803

如何使用FPGA實(shí)現(xiàn)全幀CCD驅(qū)動(dòng)的設(shè)計(jì)

 以加拿大Dalsa公司的全幀CCD圖像傳感器FTF4027M為例,在研究了全幀CCD結(jié)構(gòu)和驅(qū)動(dòng)時(shí)序的基礎(chǔ)上,提出了基于現(xiàn)場(chǎng)可編程邏輯門陣列(FPGA)的驅(qū)動(dòng)脈沖設(shè)計(jì)方法。選用FPGA作為硬件
2021-01-26 15:57:0111

基于CPLD工作模式可調(diào)的線陣CCD驅(qū)動(dòng)電路的設(shè)計(jì)講解

內(nèi)外觸發(fā)來(lái)實(shí)現(xiàn)的。在外觸發(fā)模式下,利用外觸發(fā)脈沖,可由用戶控制CCD的曝光和信號(hào)輸出時(shí)間;內(nèi)觸發(fā)時(shí),可以調(diào)節(jié)CCD的積分時(shí)間和驅(qū)動(dòng)頻率。為提高信號(hào)輸出質(zhì)量,針對(duì)EMC問(wèn)題給出了線陣CCD的外圍驅(qū)動(dòng)電路。實(shí)驗(yàn)結(jié)果表明,該方法調(diào)試方便、電路結(jié)
2021-03-01 16:51:009

基于CPLD工作模式可調(diào)的線陣CCD驅(qū)動(dòng)電路的設(shè)計(jì)講解

內(nèi)外觸發(fā)來(lái)實(shí)現(xiàn)的。在外觸發(fā)模式下,利用外觸發(fā)脈沖,可由用戶控制CCD的曝光和信號(hào)輸出時(shí)間;內(nèi)觸發(fā)時(shí),可以調(diào)節(jié)CCD的積分時(shí)間和驅(qū)動(dòng)頻率。為提高信號(hào)輸出質(zhì)量,針對(duì)EMC問(wèn)題給出了線陣CCD的外圍驅(qū)動(dòng)電路。實(shí)驗(yàn)結(jié)果表明,該方法調(diào)試方便、電路結(jié)
2021-03-01 16:51:0025

AD9847:集成時(shí)序驅(qū)動(dòng)器的10位40 MSPS CCD信號(hào)處理器數(shù)據(jù)表

AD9847:集成時(shí)序驅(qū)動(dòng)器的10位40 MSPS CCD信號(hào)處理器數(shù)據(jù)表
2021-04-15 09:38:375

AD9848/AD9849:集成時(shí)序驅(qū)動(dòng)器的CCD信號(hào)處理器數(shù)據(jù)表

AD9848/AD9849:集成時(shí)序驅(qū)動(dòng)器的CCD信號(hào)處理器數(shù)據(jù)表
2021-04-15 21:19:2614

ADDI9020:帶V驅(qū)動(dòng)器和精密時(shí)序發(fā)生器的60 MHz CCD信號(hào)處理器數(shù)據(jù)表

ADDI9020:帶V驅(qū)動(dòng)器和精密時(shí)序發(fā)生器的60 MHz CCD信號(hào)處理器數(shù)據(jù)表
2021-05-08 18:50:373

Interline CCD 圖像傳感器的垂直時(shí)序優(yōu)化

Interline CCD 圖像傳感器的垂直時(shí)序優(yōu)化
2022-11-15 20:36:340

CPLDMAX系列器件庫(kù)

CPLDMAX系列器件庫(kù)max-13.0.1.232
2022-12-21 17:26:114

利用MAX II CPLD實(shí)現(xiàn)LCD控制器

電子發(fā)燒友網(wǎng)站提供《利用MAX II CPLD實(shí)現(xiàn)LCD控制器.pdf》資料免費(fèi)下載
2023-11-10 09:36:390

TDI線陣相機(jī)的原理是什么?有哪些應(yīng)用呢?

TDI(Time?Delayed?and?Integration)CCD(即時(shí)間延遲積分CCD)是近幾年發(fā)展起來(lái)的一種新型光電傳感器。
2024-03-19 14:47:53128

已全部加載完成