電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于FPGA采用模塊化思路設(shè)計(jì)一個(gè)譯碼器

基于FPGA采用模塊化思路設(shè)計(jì)一個(gè)譯碼器

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

138譯碼器怎么用

138譯碼器的設(shè)置目的是為了實(shí)現(xiàn)IO復(fù)用,單片機(jī)上IO資源緊張,掛載的外設(shè)較多,為了解決這矛盾,引入了138譯碼器單個(gè)138譯碼器能夠利用3個(gè)IO實(shí)現(xiàn)8路選擇(在邏輯上相當(dāng)于擴(kuò)展了5個(gè)IO),比賽
2022-01-12 07:25:11

138譯碼器的運(yùn)用

看完74hl138譯碼器的技術(shù)文檔,就編了這個(gè)。很好用的芯片。{:soso_e130:}
2012-08-19 00:54:06

3 -8譯碼器

3 -8譯碼器 不知怎么仿真波形弄不出來,主要是輸出引腳的波形有問題,希望大家能給以指導(dǎo),感謝大家?。?!
2014-06-12 21:41:50

74HC138譯碼器的工作原理是什么

的是74HC138,也叫38譯碼器。2. 工作原理38譯碼器,從名字來分析就是把3種輸入狀態(tài)翻譯成8種輸出狀態(tài)。對(duì)于數(shù)字器件的引腳,如果個(gè)引腳輸入的時(shí)候,有 0 和 1 兩種狀態(tài);對(duì)于兩個(gè)引腳輸入的時(shí)候,就會(huì)
2021-11-25 09:27:16

74LS138譯碼器的擴(kuò)展方法是什么

74LS138譯碼器是什么?74LS138譯碼器的擴(kuò)展方法是什么?
2022-01-19 07:14:36

7段數(shù)碼顯示譯碼器設(shè)計(jì)實(shí)驗(yàn)

)實(shí)驗(yàn)內(nèi)容:Ⅰ.用VHDL中的CASE語句設(shè)計(jì)個(gè)顯示十六進(jìn)制數(shù)的7段數(shù)碼顯示譯碼器,輸入是從“0000”~“1111”16個(gè)4位二進(jìn)制數(shù),數(shù)碼顯示的是從‘0’~‘F’16個(gè)字符。在QuartusII
2009-10-11 09:22:08

道簡單的74LS138譯碼器題目

如圖,思路是兩片74LS138組合形成4-16譯碼器來實(shí)現(xiàn)。但因?yàn)椴惶珪?huì)用Multisim所以來求助大神
2016-10-20 11:12:25

譯碼器

次發(fā)帖,自己仿真的個(gè)譯碼器,謝謝大家!
2016-03-22 13:34:35

譯碼器及其應(yīng)用實(shí)驗(yàn)

譯碼器及其應(yīng)用實(shí)驗(yàn)
2017-03-21 13:36:44

譯碼器和usp的連接方式

那位大大能教下bcd譯碼器和usp模塊的連接方式,需要什么過度嗎?
2012-07-15 01:06:12

譯碼器定義

轉(zhuǎn)換成對(duì)應(yīng)的輸出信號(hào), 具有譯碼功能的邏輯電路稱為譯碼器。——《電子技術(shù)基礎(chǔ) 數(shù)字部分》華中科技大學(xué)洋羽的解釋:我們把譯碼器看做一個(gè)轉(zhuǎn)換,他的任務(wù)就是把個(gè)我們不想要的的信號(hào)格式轉(zhuǎn)換成另外種我們想要的信號(hào)格式(兩種信號(hào)表示的信息是樣的,變得只有格式),從“譯”字下手,就是將難得化成簡單的(此處
2021-12-07 09:37:27

譯碼器的資料

這是譯碼器些資料。
2014-07-13 11:59:08

采用模塊化設(shè)計(jì)的無線傳感網(wǎng)絡(luò)節(jié)點(diǎn)

傳感節(jié)點(diǎn)采用模塊化設(shè)計(jì),各功能模塊通過SPI接口鏈接。由于系統(tǒng)功能要求,使個(gè)模塊與多個(gè)模塊鏈接通信,其接口電路SPI工作在不同的主從機(jī)模式,使用單SPI接口主從切換,勢(shì)必降低模塊間通信速度與靈活性
2019-06-05 05:00:12

LED譯碼器

。TTL、CMOS又沒有現(xiàn)成譯碼器可用。故而用二極管搭建此特殊譯碼器,簡單、可靠低成本與現(xiàn)有系統(tǒng)親和度高。我的高級(jí)的產(chǎn)品顯示部分用的是人機(jī)界面。
2016-11-17 09:40:39

SDRAM控制實(shí)現(xiàn)FPGA模塊化和通用性的設(shè)計(jì)方案

基于SDRAM控制實(shí)現(xiàn)FPGA模塊化和通用性的解決方案設(shè)計(jì)
2020-12-22 07:58:55

Xilinx FPGA入門連載20:3-8譯碼器實(shí)驗(yàn)

,OFF,ON1D7點(diǎn)亮 ONON,ON,OFF1D8點(diǎn)亮 ONON,ON,ON1D9點(diǎn)亮注:X表示ON或OFF,即任意狀態(tài)。 2 板級(jí)調(diào)試下載sp6.bit文件到FPGA中,可以如圖視頻樣操作撥碼開關(guān),實(shí)現(xiàn)3-8譯碼器的功能。 `
2015-11-02 13:17:03

【連載視頻教程(二)】小梅哥FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之3-8譯碼器設(shè)計(jì)驗(yàn)證

通過3-8譯碼器的設(shè)計(jì)實(shí)現(xiàn)與驗(yàn)證,講解Verilog基礎(chǔ)語法,并復(fù)習(xí)FPGA開發(fā)的基本流程。希望通過這樣個(gè)視頻教程,帶領(lǐng)大家進(jìn)步熟悉FPGA的開發(fā)流程。 課程以實(shí)現(xiàn)3-8譯碼器的設(shè)計(jì)為起點(diǎn),手把手
2015-09-21 10:02:47

三八譯碼器的應(yīng)用

芯片,這種數(shù)字芯片由簡單的輸入邏輯來控制輸出邏輯,比如 74HC138這個(gè)三八譯碼器,圖 3-15 是 74HC138 在我們?cè)韴D上的個(gè)應(yīng)用。從這個(gè)名字來分析,三八譯碼器,就是把 3 種輸入狀態(tài)...
2021-07-19 09:08:52

什么是3-8譯碼器

什么是3-8譯碼器,急啊,速求
2013-03-26 16:52:38

什么是模塊化編程?模塊化編程的注意事項(xiàng)

、回顧上篇文章,我寫了單片機(jī)的實(shí)戰(zhàn),數(shù)碼管的消影。這篇文章,將對(duì)單片機(jī)的模塊化編程進(jìn)行個(gè)記錄講解。二、什么是模塊化編程?1、傳統(tǒng)方式編程:傳統(tǒng)方式編程:所有的函數(shù)均放在main.c里,若使用的模塊比較多,則個(gè)文件內(nèi)會(huì)有很多的代碼,不利于代碼的組織和管理,而且很影響編程者的思路2、模塊化編程
2022-02-23 07:14:26

譯碼器可作什么使用?

譯碼器的使能端看做輸入端、譯碼器的輸入端看做地址端,則全譯碼器可作什么使用
2015-05-18 11:41:06

關(guān)于138譯碼器位運(yùn)算簡化代碼的思路分享

關(guān)于138譯碼器位運(yùn)算簡化代碼的思路分享
2022-02-25 07:43:15

分享款不錯(cuò)的SDRAM通用控制FPGA模塊化設(shè)計(jì)方案

本文介紹種通用SDRAM控制FPGA模塊化解決方案。
2021-05-07 06:42:49

基于FPGA的Viterbi譯碼器該怎樣去設(shè)計(jì)?

譯碼器有哪些功能?Viterbi譯碼器是由哪幾部分組成的?
2021-05-07 07:28:33

基于FPGA的漢明碼譯碼器如何對(duì)碼元數(shù)據(jù)添加噪聲干擾?

的?還有這篇文章是2010年發(fā)表的了,如今漢明碼譯碼器FPGA實(shí)現(xiàn)是否有更好的實(shí)現(xiàn)方法呢?有大神可以給我提供個(gè)思路嗎?or2萬分感謝
2020-02-26 23:29:41

基于IP核的Viterbi譯碼器實(shí)現(xiàn)

【摘要】:Viterbi譯碼器在通信系統(tǒng)中應(yīng)用非常普遍,針對(duì)采用DSP只能進(jìn)行相對(duì)較低速率的Vit-erbi譯碼的問題,人們開始采用FPGA實(shí)現(xiàn)高速率Viterbi譯碼。本文首先簡單描述了
2010-04-26 16:08:39

多種方式自制CPU 譯碼器

在DIY的時(shí)候,有多元的選擇是最好的。不同品種,不同的廠家,可必免斷供,不同的型號(hào)可避免漲價(jià)打消制作的想法。在CPU或MCU中譯碼器至關(guān)重要,多位譯碼器可使用74138多片聯(lián)級(jí),4位譯碼器可選
2022-10-02 16:40:44

如何采用模塊化儀器應(yīng)對(duì)新興音頻和視頻測(cè)試?

測(cè)試工程師們面臨的挑戰(zhàn)有哪些?模塊化儀器具有什么優(yōu)點(diǎn)?如何采用模塊化儀器應(yīng)對(duì)新興音頻和視頻測(cè)試?
2021-06-08 06:39:08

如何準(zhǔn)確設(shè)計(jì)出符合功能要求的顯示譯碼器?

顯示譯碼器是什么?如何準(zhǔn)確設(shè)計(jì)出符合功能要求的顯示譯碼器?
2021-06-01 06:58:12

如何利用FPGA設(shè)計(jì)Viterbi譯碼器

增加些監(jiān)督碼元,這些監(jiān)督碼與信碼之間有定的關(guān)系,接收端可以利用這種關(guān)系由信道譯碼器來發(fā)現(xiàn)或糾正錯(cuò)誤的碼元。
2019-08-15 06:12:00

如何利用譯碼器進(jìn)行組合邏輯電路的設(shè)計(jì)呢

集成電路編碼譯碼器的工作原理即邏輯功能是什么?如何利用邏輯門去實(shí)現(xiàn)種集成電路編碼呢?如何利用譯碼器進(jìn)行組合邏輯電路的設(shè)計(jì)呢?
2021-11-03 06:55:24

常說的38譯碼器有哪些

最近由于個(gè)大作業(yè)的需要,買了塊16*16的點(diǎn)陣模塊研究了番,實(shí)物圖如下:這個(gè)模塊有高配版本是可以顯示兩個(gè)顏色的,我買的是只有個(gè)顏色的,所以有部分芯片沒焊。用到的主要芯片有:74HC138
2021-12-06 07:19:08

應(yīng)用于LTE-OFDM系統(tǒng)的Viterbi譯碼FPGA中的實(shí)現(xiàn)

種在FPGA中實(shí)現(xiàn)的基于軟判決的Viterbi譯碼算法,并以個(gè)(2,1,2)、回溯深度為10的軟判決Viterbi譯碼算法為例驗(yàn)證該算法,在Xilinx的XC3S500E芯片上實(shí)現(xiàn)了該譯碼器,最后對(duì)其性能做了分析?! £P(guān)鍵詞: OFDM;Viterbi譯碼;軟判決;FPGA
2009-09-19 09:41:24

怎么實(shí)現(xiàn)BCH譯碼器FPGA硬件設(shè)計(jì)?

本文通過對(duì)長BCH碼優(yōu)化方法的研究與討論,針對(duì)標(biāo)準(zhǔn)中二進(jìn)制BCH碼的特性,設(shè)計(jì)了實(shí)現(xiàn)該譯碼器FPGA硬件結(jié)構(gòu)。
2021-06-15 09:23:27

怎么實(shí)現(xiàn)DTMB標(biāo)準(zhǔn)BCH譯碼器設(shè)計(jì)?

BCH碼是目前最為常用的糾錯(cuò)碼之,我國的數(shù)字電視廣播地面?zhèn)鬏敇?biāo)準(zhǔn)DTMB也使用了縮短的BCH碼作為前向糾錯(cuò)編碼的外碼。針對(duì)該BCH碼的特點(diǎn),采用BM譯碼算法,設(shè)計(jì)了種實(shí)時(shí)譯碼器。與其它設(shè)計(jì)方案
2021-05-25 07:04:32

怎么實(shí)現(xiàn)RS編譯碼器的設(shè)計(jì)?

本文研究了RS碼的實(shí)現(xiàn)方法,并基于Xilinx的FPGA芯片Spartan-6 XC6SLX45完成了RS編譯碼器的設(shè)計(jì),同時(shí)對(duì)其進(jìn)行了仿真和在線調(diào)試,并給出了功能仿真圖和測(cè)試結(jié)果。時(shí)序仿真結(jié)果表明,該編譯碼器能實(shí)現(xiàn)預(yù)期功能。
2021-06-21 06:23:53

急求基于FPGA的Turbo碼編譯碼器模塊實(shí)現(xiàn)的 VHDL或verilog HDL程序

基于FPGA的Turbo碼編譯碼器模塊實(shí)現(xiàn)的 VHDL或verilog HDL程序。急求啊謝謝大神啦?。?/div>
2015-06-08 22:45:24

截短Reed-Solomon碼譯碼器FPGA實(shí)現(xiàn)

截短Reed-Solomon碼譯碼器FPGA實(shí)現(xiàn)提出了種改進(jìn)的BM算法,并在此基礎(chǔ)上提出了種大量采用并行結(jié)構(gòu)的截短RS碼譯碼器的實(shí)現(xiàn)方式。驗(yàn)證表明,該算法能顯著提高基于FPGA的RS譯碼器
2009-09-19 09:39:43

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)提 出 了 種 改 進(jìn) 的 算 法 并 在 此 基 礎(chǔ) 上 提 出 了 種 大 量 采 用 并 行 結(jié) 構(gòu) 的 截 短 碼譯 碼 的 實(shí)
2012-08-11 15:50:06

種在FPGA中使用行為描述語句實(shí)現(xiàn)3-8譯碼器的設(shè)計(jì)方案

1、在FPGA中使用行為描述語句實(shí)現(xiàn)3-8譯碼器設(shè)計(jì)思路譯碼器電路有n個(gè)輸入和2n個(gè)輸出,每個(gè)輸出都對(duì)應(yīng)著個(gè)可能的二進(jìn)制輸入。本實(shí)驗(yàn)設(shè)計(jì)實(shí)現(xiàn)個(gè)3-8譯碼器,表3.1給出了該譯碼器的真值表。從
2022-07-01 15:26:26

求multisim數(shù)碼顯示譯碼器仿真?。。?!譯碼器是CC4511

求multisim數(shù)碼顯示譯碼器仿真!?。。?b class="flag-6" style="color: red">譯碼器是CC4511。。。。。我的調(diào)不太通,希望看看大神做的成品,參考下?。。。?,很急!
2015-12-21 21:13:26

用2-4譯碼器連接為3-8譯碼器

我先寫了個(gè)2-4譯碼器 通過testbench確定2-4譯碼器寫的沒有錯(cuò)誤 但是將2-4譯碼器連接成3-8譯碼器的時(shí)候出現(xiàn)錯(cuò)誤Error (10663): Verilog HDL Port
2020-08-23 20:36:24

用AT89C51和38譯碼器一個(gè)00-59的秒表

用AT89C51和38譯碼器一個(gè)00-59的秒表
2017-04-13 14:04:36

突發(fā)通信中的Turbo碼編譯碼算法的FPGA實(shí)現(xiàn)

Turbo碼編碼FPGA實(shí)現(xiàn)Turbo碼譯碼器FPGA實(shí)現(xiàn)Turbo碼編譯碼器的性能有哪些?
2021-05-07 06:06:23

組合邏輯模塊化設(shè)計(jì)之靜態(tài)數(shù)碼管顯示二

數(shù)碼管以十六進(jìn)制形式顯示ina與inb中較大的那個(gè)數(shù),且ina較大時(shí)由右邊兩位數(shù)碼管起顯示,inb較大時(shí)由左邊兩位數(shù)碼管起顯示,兩個(gè)輸入相等時(shí)由四位數(shù)碼管共同顯示該數(shù)據(jù)。這里同樣采用模塊化設(shè)計(jì)的思路
2022-07-29 15:58:38

設(shè)計(jì)個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

設(shè)計(jì)個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能
2012-05-15 15:16:39

設(shè)計(jì)個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

設(shè)計(jì)個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能!急急急{:soso_e183:}
2012-05-15 15:12:44

請(qǐng)問怎么使用SN74LV4051A搭建個(gè)3,-8譯碼器?

我想用SN74LV4051A搭建個(gè)3,-8譯碼器,但是在官網(wǎng)下好了spice模型之后在tina里總是仿真不出來,能不能給我個(gè)用SN74LV4051A做好的3-8譯碼器的tina電路?
2019-05-09 10:48:43

請(qǐng)問有4 16譯碼器嗎?

求助個(gè)4 16譯碼器,要求只出個(gè)高電平其余低電平。不要告訴我加反相,我也不想用CC4514,還有沒有別的芯片了。
2019-06-24 00:36:28

7段數(shù)碼管譯碼器設(shè)計(jì)實(shí)驗(yàn)

7段數(shù)碼管譯碼器設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)二 7段數(shù)碼管譯碼器設(shè)計(jì)與實(shí)現(xiàn)[實(shí)驗(yàn)?zāi)康腯熟悉VHDL語言的語法規(guī)范了解模塊之間的連接[重點(diǎn)和難點(diǎn)]VHDL語言中port map的使用模塊化設(shè)計(jì)方法[設(shè)備器材
2009-03-14 17:05:15

編碼器與譯碼器

? 第4章 ? 編碼器與譯碼器
2007-12-20 23:14:1857

譯碼器課件ppt

19.4  譯碼器譯碼器的分類         1. 譯碼器 —輸入為非十進(jìn)制編碼,   輸出為十進(jìn)制編碼;2. 編碼器 —輸入為十進(jìn)制編碼,   輸
2008-09-27 13:04:230

7段數(shù)碼管譯碼器設(shè)計(jì)與實(shí)現(xiàn)

實(shí)驗(yàn)二 7段數(shù)碼管譯碼器設(shè)計(jì)與實(shí)現(xiàn)[實(shí)驗(yàn)?zāi)康腯熟悉VHDL語言的語法規(guī)范了解模塊之間的連接[重點(diǎn)和難點(diǎn)]VHDL語言中port map的使用模塊化設(shè)計(jì)方法[設(shè)備器材]
2009-03-14 17:26:19126

基于FPGA 的(3,6)LDPC 碼并行譯碼器設(shè)計(jì)與實(shí)現(xiàn)

本文基于Altera的FPGA(StatixⅡ-EP2S30F484C3)架構(gòu),實(shí)現(xiàn)了碼率為1/2,幀長為1008bits的規(guī)則(3,6)LDPC碼譯碼器。所采用的最小-和算法相對(duì)于傳統(tǒng)的和-積算法在不損失譯碼性能的前提下,
2009-06-06 14:12:2031

基于Nios的通用編譯碼器的設(shè)計(jì)

本文利用可編程邏輯的靈活性和Nios 的強(qiáng)大處理能力,將多種編譯碼模塊和微處理器模塊集成到一片FPGA 內(nèi)部,方便地實(shí)現(xiàn)了通用編譯碼器的設(shè)計(jì)。由于采用了VHDL 語言,使系統(tǒng)具有可移
2009-11-30 14:27:5622

譯碼器

譯碼器 譯碼是編碼的逆過程,即將某個(gè)二進(jìn)制翻譯成電路的某種狀態(tài)。實(shí)現(xiàn)譯碼操作的電路稱為譯碼器。
2008-09-27 12:59:0612538

數(shù)碼譯碼器的應(yīng)用

數(shù)碼譯碼器的應(yīng)用:譯碼器課件ppt
2008-12-17 14:31:201056

顯示譯碼器的應(yīng)用

顯示譯碼器的應(yīng)用:
2008-12-17 14:35:061260

第十七講 譯碼器

第十七講 譯碼器 6.4.1 二進(jìn)制譯碼器一、二進(jìn)制譯碼器 二、譯碼器CT74LS1381.邏輯圖。2.真值表。3.邏輯功能:4.
2009-03-30 16:22:267525

譯碼器,譯碼器是什么意思

譯碼器,譯碼器是什么意思 譯碼器是組合邏輯電路的一個(gè)重要的器件,其可以分為:變量譯碼和顯示譯碼兩類?! ∽兞?b class="flag-6" style="color: red">譯碼一
2010-03-08 16:32:185304

短幀Turbo譯碼器FPGA實(shí)現(xiàn)

  Turbo碼雖然具有優(yōu)異的譯碼性能,但是由于其譯碼復(fù)雜度高,譯碼延時(shí)大等問題,嚴(yán)重制約了Turbo碼在高速通信系統(tǒng)中的應(yīng)用。因此,如何設(shè)計(jì)一個(gè)簡單有效的譯碼器是目前Turb
2010-11-25 10:10:261772

CDMA2000系統(tǒng)中高速維特比譯碼器的設(shè)計(jì)

本文描述了一種可用于CDMA 2000 通信系統(tǒng)的通用高速維特比譯碼器基于FPGA的設(shè)計(jì)與實(shí)現(xiàn)。該維特比譯碼器具有通用性和高速性, 它支持可變碼率、可變幀長的譯碼。同時(shí)它采用四個(gè)ACS 并
2011-05-14 15:18:1433

顯示譯碼器作用/類型

譯碼器的功能是將一種數(shù)碼變換成另一種數(shù)碼。譯碼器的輸出狀態(tài)是其輸入變量各種組合的結(jié)果。譯碼器的輸出既可以用于驅(qū)動(dòng)或控制系統(tǒng)其他部分。
2011-11-16 14:32:386505

基于FPGA的高速RS編譯碼器實(shí)現(xiàn)

本文介紹了 RS[ 255, 223 ]編譯碼器FPGA設(shè)計(jì)和基于線形反饋移位寄存器的編碼器設(shè)計(jì) , 以及由伴隨式計(jì)算、關(guān)鍵方程求解、錢氏搜索、Forney算法等功能模塊組成的譯碼器。為了實(shí)現(xiàn)簡單
2012-05-22 10:43:4045

74譯碼器數(shù)據(jù)表

本軟件內(nèi)容為 電子工程師DIY:LED立方 中用到的74譯碼器的相關(guān)資料:74譯碼器數(shù)據(jù)表
2012-06-25 12:00:3199

基于FPGA的RS碼譯碼器的設(shè)計(jì)

介紹了符合CCSDS標(biāo)準(zhǔn)的RS(255,223)碼譯碼器的硬件實(shí)現(xiàn)結(jié)構(gòu)。譯碼器采用8位并行時(shí)域譯碼算法,主要包括了修正后的無逆BM迭代譯碼算法,錢搜索算法和Forney算法。采用了三級(jí)流水線結(jié)構(gòu)實(shí)現(xiàn)
2013-01-25 16:43:4668

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語言】

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語言】
2015-12-29 15:51:360

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)
2016-05-11 11:30:1911

譯碼器及其應(yīng)用實(shí)驗(yàn)

譯碼器及其應(yīng)用實(shí)驗(yàn)
2016-12-29 19:01:450

基于RS譯碼器設(shè)計(jì)和仿真

(;A平臺(tái),利用Xilinx lSE軟件和Verilog硬件描述語言,對(duì)譯碼器中各個(gè)子模塊進(jìn)行了設(shè)計(jì)和仿真。整個(gè)譯碼器設(shè)計(jì)過程采用流水線處理方式。時(shí)序仿真結(jié)果表明在保證錯(cuò)誤符號(hào)不大于8個(gè)的情況下,經(jīng)過295個(gè)固有延遲之后,每個(gè)時(shí)鐘周期均可連續(xù)輸出經(jīng)校正的碼字,該RS譯碼器的糾錯(cuò)能
2017-11-07 15:27:0615

基于ASIC的高速Viterbi譯碼器設(shè)計(jì)

針對(duì)無線通信系統(tǒng)中對(duì)于高頻率、高吞吐量的要求,提出了一種基于ASIC的高速Viterbi譯碼器實(shí)現(xiàn)方案。該譯碼器在約束度小于等于9的情況下,采用全并行結(jié)構(gòu)的加比選模塊。性能分析結(jié)果表明,在SMIC
2017-11-11 17:56:156

基于FPGA的全新DSC并行譯碼器設(shè)計(jì)及理論

采用易于FPGA實(shí)現(xiàn)的歸一化最小和算法,通過選取合適的歸一化因子,將乘法轉(zhuǎn)化成移位和加法運(yùn)算。在高斯白噪聲信道下,仿真該譯碼算法得出最佳的譯碼迭代次數(shù),并結(jié)合Xilinx XC7VX485T資源確定
2017-11-16 12:59:012766

基于FPGA 的LDPC 碼編譯碼器聯(lián)合設(shè)計(jì)

該文通過對(duì)低密度校驗(yàn)(LDPC)碼的編譯碼過程進(jìn)行分析,提出了一種基于FPGA 的LDPC 碼編譯碼器聯(lián)合設(shè)計(jì)方法,該方法使編碼器和譯碼器共用同一校驗(yàn)計(jì)算電路和復(fù)用相同的RAM 存儲(chǔ)塊,有效減少
2017-11-22 07:34:013928

譯碼器如何實(shí)現(xiàn)擴(kuò)展

了4線- 16線的譯碼器。這種方法主要是利用其中的一個(gè)使能輸入端作為編碼信號(hào)輸入端,調(diào)整圖中非門的位置,或采用其他使能輸入端作為編碼信號(hào)輸入端,同樣可實(shí)現(xiàn)4線- 16線譯碼器。
2017-11-23 08:44:5333058

譯碼器的邏輯功能_譯碼器的作用及工作原理

本文首先介紹了譯碼器的定義與譯碼器的分類,其次介紹了譯碼器的作用和譯碼器的工作原理,最后介紹了譯碼器的邏輯功能。
2018-02-08 14:04:06107559

譯碼器的分類和應(yīng)用

本文主要介紹了譯碼器的分類和應(yīng)用。譯碼器指的是具有譯碼功能的邏輯電路,譯碼是編碼的逆過程,它能將二進(jìn)制代碼翻譯成代表某一特定含義的信號(hào)(即電路的某種狀態(tài)),以表示其原來的含義。譯碼器可以分為:變量
2018-04-04 11:51:1237755

通過采用FPGA器件設(shè)計(jì)一個(gè)Viterbi譯碼器

可編程邏輯技術(shù)的不斷發(fā)展,其高密度、低功耗、使用靈活、設(shè)計(jì)快速、成本低廉、現(xiàn)場可編程和反復(fù)可編程等特性,使FPGA逐步成為Viterbi譯碼器設(shè)計(jì)的最佳方法。項(xiàng)目目的是用FPGA實(shí)現(xiàn)一個(gè)Viterbi譯碼器
2019-04-24 08:29:002635

如何使用FPGA實(shí)現(xiàn)跳頻系統(tǒng)中的Turbo碼譯碼器

給出了跳頻系統(tǒng)中 Turbo碼譯碼器FPGA( field programmable gate array)實(shí)現(xiàn)方案。譯碼器采用了MaxLog-map譯碼算法和模塊化的設(shè)計(jì)方法,可以
2021-04-01 11:21:465

如何采用模塊化思路構(gòu)建一個(gè)3-8譯碼器

我們用一個(gè)3-8譯碼器來結(jié)束本次對(duì)組合邏輯電路的介紹,并且最后我們還給大家準(zhǔn)備了一個(gè)略微酸爽的任務(wù),確保大家的腦神經(jīng)都可以得到充分的摩擦。閑話不多,現(xiàn)在開始。
2021-06-06 11:23:514296

基于FPGA的800Mbps準(zhǔn)循環(huán)LDPC碼譯碼器

基于FPGA的800Mbps準(zhǔn)循環(huán)LDPC碼譯碼器
2021-06-08 10:31:3126

關(guān)于Actel 的FPGA譯碼器的VHDL源代碼

關(guān)于Actel 的FPGA譯碼器的VHDL源代碼(通信電源技術(shù)期刊2020年第14期)-關(guān)于Actel 的FPGA譯碼器的VHDL源代碼。適合感興趣的學(xué)習(xí)者學(xué)習(xí),可以提高自己的能力,大家可以多交流哈
2021-09-16 15:18:0110

FPGA之三八譯碼器

一聽到三八譯碼器這個(gè)東西可能會(huì)感覺有點(diǎn)熟悉,其實(shí)在STC89C51系列單片機(jī)中,里面就有一個(gè)三八譯碼器,就是一開始的流水燈程序,LED0-7這八個(gè)LED!但是怎么在FPGA中實(shí)現(xiàn)三八譯碼器呢?其實(shí)很簡單。
2023-04-26 15:38:211787

二進(jìn)制譯碼器和二-十進(jìn)制譯碼器介紹

輸入:二進(jìn)制代碼,有n個(gè); 輸出:2^n 個(gè)特定信息。 1.譯碼器電路結(jié)構(gòu) 以2線— 4線譯碼器為例說明 2線— 4線譯碼器的真值表為:
2023-04-30 16:29:002335

已全部加載完成