電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯> 新思科技提供跨臺(tái)積公司先進(jìn)工藝的參考流程,助力加速模擬設(shè)計(jì)遷移

新思科技提供跨臺(tái)積公司先進(jìn)工藝的參考流程,助力加速模擬設(shè)計(jì)遷移

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

思科技Custom Design Platform獲批三星7LPP工藝技術(shù)認(rèn)證

· 新思科技Custom Design Platform為三星7LPP工藝技術(shù)提供經(jīng)認(rèn)證的工具、PDK、仿真模型、運(yùn)行集(runsets)以及定制參考流程。 · 新思科技Custom
2018-07-18 11:46:357228

思科技與ADI公司達(dá)成合作,共同加速電源系統(tǒng)設(shè)計(jì)

達(dá)成合作,通過(guò)采用新思科技業(yè)界領(lǐng)先的仿真工具Saber為DC/DC IC和μModule?(微型模塊)穩(wěn)壓器提供模型庫(kù)。Saber作為新思科技虛擬原型解決方案的一部分,其系統(tǒng)級(jí)仿真軟件中的新模型庫(kù)能夠助力動(dòng)力總成開(kāi)發(fā)者在電動(dòng)汽車(chē)、儀器設(shè)備和超級(jí)計(jì)算機(jī)等產(chǎn)品上快速準(zhǔn)確地進(jìn)行多域仿真,加速設(shè)計(jì)進(jìn)
2022-05-24 10:47:431483

臺(tái)電0.18工藝電源電壓分別是多少?

臺(tái)電0.18工藝電源電壓分別是多少?是1.8v跟3.3v嗎?
2021-06-25 06:32:37

臺(tái)電或?qū)ⅰ蔼?dú)吞”A7大單

有機(jī)會(huì)“獨(dú)吞”A7代工訂單?! ?b class="flag-6" style="color: red">臺(tái)電作為全球規(guī)模最大的專(zhuān)業(yè)集成電路制造公司,其技術(shù)優(yōu)勢(shì)的領(lǐng)先,在業(yè)界可謂屈指可數(shù)。臺(tái)電積極開(kāi)發(fā)20納米制程,花旗環(huán)球證券指出,在技術(shù)領(lǐng)先MAX3232EUE+T優(yōu)勢(shì)下,未來(lái)1
2012-09-27 16:48:11

模擬設(shè)計(jì)中MOS的柵長(zhǎng)怎么選擇?

采用0.13um的混合工藝 模擬設(shè)計(jì)中用到的MOS的柵長(zhǎng)選擇就是0.13um嗎? / C5 @, o6 U8 I% q! X而如果采用0.18um的混合工藝 模擬設(shè)計(jì)中用到的MOS的柵長(zhǎng)選擇就是0.18um嗎?
2012-01-12 16:33:54

模擬設(shè)計(jì)中噪聲分析常見(jiàn)的誤區(qū)

本文闡述關(guān)于模擬設(shè)計(jì)中噪聲分析的11個(gè)由來(lái)已久的誤區(qū)。
2021-03-09 08:27:51

模擬設(shè)計(jì)的原則有哪些

模擬設(shè)計(jì)的100條圣經(jīng)
2021-02-25 07:24:42

HarmonyOS分布式——設(shè)備遷移

HarmonyOS分布式——設(shè)備遷移
2021-06-26 14:34:39

HarmonyOS應(yīng)用開(kāi)發(fā)-DiseributedVideoCodelab設(shè)備視頻遷移

說(shuō)明:此Demo用于設(shè)備遷移視頻內(nèi)容。您可以方便地跨設(shè)備遷移視頻內(nèi)容,然后在源設(shè)備遠(yuǎn)程控制、操作遠(yuǎn)端設(shè)備視頻內(nèi)容。效果圖:完整代碼地址:https://gitee.com/jltfcloudcn/jump_to/tree/feature/DistributedVideoCodelab
2022-07-05 10:24:59

SMT貼裝基本工藝流程

圖:如圖3所示。應(yīng)注意的是,所有準(zhǔn)備工作都應(yīng)依照產(chǎn)品程序中的定 義來(lái)開(kāi)展。 ?、圪N片機(jī)生產(chǎn)基本工藝流程:圖4提供了貼片生產(chǎn)的基本工藝流程,在實(shí)際生產(chǎn)環(huán)境中的工藝流程(或 說(shuō)貼片設(shè)備的動(dòng)作流程)比這要復(fù)雜
2018-08-31 14:55:23

【AD新聞】競(jìng)爭(zhēng)激烈!臺(tái)電中芯搶高通芯片訂單

據(jù)外媒報(bào)道,預(yù)計(jì)臺(tái)電將獲得高通新一代電源管理芯片(PWM IC)70%至80%的訂單。高通前一代電源管理芯片是由中芯國(guó)際(SMIC)生產(chǎn)的,后者在其8英寸晶圓廠(chǎng)使用0.18至0.153微米工藝來(lái)生
2017-09-27 09:13:24

【下載】《嵌入式系統(tǒng)中的模擬設(shè)計(jì)》

模擬/數(shù)字混合系統(tǒng)設(shè)計(jì)的數(shù)字工程師、學(xué)生、教師及應(yīng)用工程人員。本書(shū)是一本有用的參考資料,既可以幫助讀者完成數(shù)字系統(tǒng)中的模擬設(shè)計(jì),也可以作為掌握許多模擬電子方面重要內(nèi)容的指導(dǎo)手冊(cè)。內(nèi)容簡(jiǎn)介  本書(shū)為那些
2017-12-14 17:49:51

【招聘】射頻/模擬、ASIC設(shè)計(jì)/驗(yàn)證、系統(tǒng)、模擬設(shè)計(jì)等

【招聘】射頻/模擬、ASIC設(shè)計(jì)/驗(yàn)證、系統(tǒng)、模擬設(shè)計(jì)等 射頻集成電路工程師(TRX 方向)-BJ 射頻/模擬集成電路工程師(RF/Analog IC Engineer)-BJ 射頻IC工程師
2017-03-03 14:54:37

【靈動(dòng)微電子招聘】集成電路模擬設(shè)計(jì)經(jīng)理 上海

流程。公司在項(xiàng)目初期就與客戶(hù)充分接觸,為客戶(hù)提供系統(tǒng)整體解決方案,從產(chǎn)品功能定義、市場(chǎng)競(jìng)爭(zhēng)力分析、工藝選擇到代工廠(chǎng)選取以及知識(shí)產(chǎn)權(quán)模塊的授權(quán)都深入?yún)⑴c,為客戶(hù)提供精準(zhǔn)可靠的分析數(shù)據(jù)和全面的方案報(bào)告,幫助
2016-01-07 11:03:36

【直播預(yù)告】聚焦模擬|先集成(Linearin)線(xiàn)上直播

| 產(chǎn)品解讀7月26日(下周二)15:00,電子發(fā)燒友聯(lián)合先集成將舉辦一場(chǎng)線(xiàn)上技術(shù)交流會(huì),先集成資深大咖將針對(duì)公司產(chǎn)品路線(xiàn)布局及產(chǎn)品特點(diǎn)做詳細(xì)解讀,致力于提供更專(zhuān)業(yè)的模擬芯片解決方案。特邀嘉賓資深
2022-07-25 18:22:32

為何說(shuō)模擬設(shè)計(jì)領(lǐng)域?qū)ψ詣?dòng)化設(shè)計(jì)工具的企盼更加迫切?

消費(fèi)類(lèi)產(chǎn)品中日益增長(zhǎng)的模擬器件數(shù)量、當(dāng)今的設(shè)計(jì)規(guī)模以及先進(jìn)工藝節(jié)點(diǎn)所面臨的愈加復(fù)雜的制造約束,使得模擬設(shè)計(jì)領(lǐng)域?qū)ψ詣?dòng)化設(shè)計(jì)工具的企盼更加迫切。 自動(dòng)化設(shè)計(jì)工具的目標(biāo)是使模擬版圖設(shè)計(jì)人員能夠簡(jiǎn)單自動(dòng)
2019-07-08 06:00:51

關(guān)于黑孔化工藝流程工藝說(shuō)明,看完你就懂了

關(guān)于黑孔化工藝流程工藝說(shuō)明,看完你就懂了
2021-04-23 06:42:18

各類(lèi)常用工藝庫(kù)臺(tái)電,中芯國(guó)際,華潤(rùn)上華

各類(lèi)常用工藝庫(kù)臺(tái)電,中芯國(guó)際,華潤(rùn)上華
2015-12-17 19:52:34

思科助力三星SDS公司落實(shí)開(kāi)源生命周期戰(zhàn)略

,負(fù)責(zé)管理所有下屬公司、市場(chǎng)和行業(yè)的各個(gè)開(kāi)發(fā)階段的項(xiàng)目,這是一項(xiàng)艱巨任務(wù)。為了應(yīng)對(duì)這一挑戰(zhàn),三星SDS將項(xiàng)目管理系統(tǒng)、流程、工具和解決方案有效組合在一起,為創(chuàng)建高質(zhì)量、可信軟件提供支持。部署開(kāi)源軟件
2023-03-02 14:20:49

思科技發(fā)布業(yè)界首款全棧式AI驅(qū)動(dòng)型EDA解決方案Synopsys.ai

Kochpatcharin表示:“臺(tái)公司與新思科技等開(kāi)放創(chuàng)新平臺(tái)(OIP)合作伙伴緊密合作,助力我們的客戶(hù)在執(zhí)行定制及模擬模塊的工藝制程設(shè)計(jì)遷移時(shí),提高生產(chǎn)效率并加快設(shè)計(jì)收斂?,F(xiàn)在,通過(guò)全新的新思科技AI驅(qū)動(dòng)型模擬設(shè)
2023-04-03 16:03:26

日進(jìn)3.3億,年狂掙千億的臺(tái)電,為何還漲價(jià)?

主導(dǎo)地位,因此可以相對(duì)確定地控制價(jià)格。目前臺(tái)電訂單已排到2022年年底,訂單數(shù)量過(guò)多也在無(wú)形中影響了代工價(jià)格。臺(tái)電漲價(jià)20%,意味著什么?意味著小公司無(wú)力支付芯片代工費(fèi)用。受晶圓代工漲價(jià)影響,如今
2021-09-02 09:44:44

晶體管管芯的工藝流程?

晶體管管芯的工藝流程?光刻的工藝流程?pcb制版工藝流程?薄膜制備工藝流程?求大佬解答
2019-05-26 21:16:27

樣板貼片的工藝流程是什么

樣板貼片的工藝流程是什么
2021-04-26 06:43:58

求助,如何理解阻放大器的增益帶寬?

一個(gè)阻放大器LTC6268的增益帶寬積為500毫赫茲。 詳細(xì)參數(shù)表內(nèi)寫(xiě)明GBW=500毫赫茲實(shí)在條件f=10MHz下得到。 這一參數(shù)明顯與通用運(yùn)算放大器的增益帶寬不同。 例如一個(gè)
2023-11-17 06:38:58

混合信號(hào)SoC助力模擬IP發(fā)展

的IDM們,也正在采取“輕晶圓廠(chǎng)”的模式。快速轉(zhuǎn)向SoC的壓力使得這些公司更樂(lè)于接受采用外部模擬IP的做法,以此滿(mǎn)足緊迫的時(shí)間期限。不過(guò),這些公司仍在繼續(xù)尋求純粹內(nèi)部開(kāi)發(fā)的模擬設(shè)計(jì),針對(duì)自己的工藝
2019-05-13 07:00:04

芯片生產(chǎn)工藝流程是怎樣的?

芯片生產(chǎn)工藝流程是怎樣的?
2021-06-08 06:49:47

擬設(shè)備,模擬實(shí)體設(shè)備和云端通信

設(shè)備聯(lián)網(wǎng)步驟和接入流程。二、虛擬設(shè)備的特點(diǎn)?主要有以下特點(diǎn):1、可模擬要開(kāi)發(fā)或正在開(kāi)發(fā)的智能硬件2、通過(guò)虛擬設(shè)模擬真實(shí)設(shè)備上報(bào)數(shù)據(jù)的行為,可以快速驗(yàn)證接口功能的開(kāi)發(fā)。3、用您開(kāi)發(fā)的app或 下載
2017-02-16 16:55:04

擬設(shè)備:驗(yàn)證接口功能,助力開(kāi)發(fā)調(diào)試

實(shí)現(xiàn)產(chǎn)品與云端通訊呢?如果你不想折騰硬件產(chǎn)品,就想知道設(shè)備和機(jī)智云互聯(lián)的原理,同樣OK。機(jī)智云新推出的虛擬設(shè)備,可以模擬真實(shí)設(shè)備上報(bào)數(shù)據(jù)的行為,可以快速驗(yàn)證接口功能的開(kāi)發(fā)。使用指南如下:step1:在
2017-02-23 16:43:25

工藝制程,Intel VS臺(tái)電誰(shuí)會(huì)贏?

的必經(jīng)前提步驟,而先進(jìn)的制成工藝可以更好的提高中央處理器的性能,并降低處理器的功耗,另外還可以節(jié)省處理器的生產(chǎn)成本。  “芯片門(mén)”讓臺(tái)電備受矚目  2015年12月份由臺(tái)電舉辦的第十五屆供應(yīng)鏈管理論
2016-01-25 09:38:11

請(qǐng)問(wèn)DevEco Studio支持打開(kāi)兩個(gè)遠(yuǎn)程模擬器,演示設(shè)備遷移嗎?

DevEco Studio支持打開(kāi)兩個(gè)遠(yuǎn)程模擬器,演示設(shè)備遷移嗎?
2022-06-07 10:02:55

通過(guò)HarmonyOS分布式能力實(shí)現(xiàn)任務(wù)的設(shè)備遷移設(shè)計(jì)資料分享

辦公。本篇 Codelab 文檔,我們通過(guò)模擬不同設(shè)備間協(xié)同的郵件編輯來(lái)做一個(gè)簡(jiǎn)單的演示,如下圖,我們可以通過(guò)遷移按鈕完成任務(wù)的設(shè)備遷移,并通過(guò)附件按鈕調(diào)用設(shè)備的圖片。
2022-03-25 16:59:38

高Q層電感助力高頻應(yīng)用不受干擾

陶瓷(LTCC)多層基材的工藝技術(shù)已達(dá)到該要求?! ‖F(xiàn)在,新設(shè)計(jì)的芯片內(nèi)部電極的制造工藝對(duì)位置控制更為精確,進(jìn)而生產(chǎn)出高Q值的0402和0603系列中的層電感MLG0402Q和MLG0603P系列。
2019-05-30 06:00:38

芯片皇帝臺(tái)電,利潤(rùn)超過(guò)蘋(píng)果公司,臺(tái)電高科技的背后,不只依賴(lài)光刻機(jī)

臺(tái)蘋(píng)果公司行業(yè)芯事經(jīng)驗(yàn)分享
中國(guó)芯動(dòng)向發(fā)布于 2022-06-07 15:56:41

中芯國(guó)際和新思科技攜手推出參考設(shè)計(jì)流程4.0

中芯國(guó)際和新思科技攜手推出參考設(shè)計(jì)流程4.0 全球領(lǐng)先的半導(dǎo)體設(shè)計(jì)、驗(yàn)證和制造軟件及知識(shí)產(chǎn)權(quán)(IP)供應(yīng)商新思科公司與中國(guó)內(nèi)地最大的芯片代工企業(yè)中芯國(guó)際集成電
2009-06-29 07:43:54369

Exar選擇微捷碼Titan ADX來(lái)加速模擬設(shè)計(jì)

Exar選擇微捷碼Titan ADX來(lái)加速模擬設(shè)計(jì) 芯片設(shè)計(jì)解決方案供應(yīng)商微捷碼(Magma(r))設(shè)計(jì)自動(dòng)化有限公司(納斯達(dá)克代碼:LAVA)日前宣布,Exar公司
2009-12-10 09:48:02718

微捷碼提供免費(fèi)試用版Titan模擬/混合信號(hào)設(shè)計(jì)平臺(tái)

微捷碼(Magma)設(shè)計(jì)自動(dòng)化有限公司日前宣布,提供免費(fèi)試用版Titan混合信號(hào)平臺(tái)和模擬設(shè)計(jì)加速器的下載。這是“Titan Up!”計(jì)劃下一階段的內(nèi)容,旨在為模擬設(shè)計(jì)師提供模擬和混合信
2010-06-22 09:36:50936

英飛凌采用新思科流程開(kāi)發(fā)新一代3G基帶處理器

思科技完整實(shí)施流程助力英飛凌在中國(guó)成功實(shí)現(xiàn)首款40納米3G基帶處理器芯片設(shè)計(jì)和一次流片成功 中國(guó)北京和西安,2010年8月9日—全
2010-08-11 14:39:39510

富士通半導(dǎo)體采用Titan大幅提高模擬設(shè)計(jì)生產(chǎn)率

微捷碼(Magma)設(shè)計(jì)自動(dòng)化有限公司日前宣布,Titan模擬設(shè)計(jì)加速器(Titan ADX)已為富士通半導(dǎo)體有限公司(Fujitsu Semiconductor)所采用
2011-11-23 09:11:43680

嵌入式系統(tǒng)中的模擬設(shè)計(jì)_英版

嵌入式系統(tǒng)中的模擬設(shè)計(jì) 英文版,好東西,喜歡的朋友可以下載來(lái)學(xué)習(xí)。
2016-01-18 14:55:520

國(guó)內(nèi)搞模擬設(shè)計(jì)可能缺乏的是傳承

國(guó)內(nèi)搞模擬設(shè)計(jì)可能缺乏的是傳承,感興趣的小伙伴們可以瞧一瞧。
2016-09-18 17:15:050

精密模擬設(shè)計(jì)中的噪聲分析

精密模擬設(shè)計(jì)中的噪聲分析
2017-01-14 15:09:1617

放大器和轉(zhuǎn)換器模擬設(shè)計(jì)技巧

放大器和轉(zhuǎn)換器模擬設(shè)計(jì)技巧
2017-09-15 17:01:4429

TI各種模擬設(shè)計(jì)工具介紹

TI各種模擬設(shè)計(jì)工具介紹
2017-10-16 12:56:457

Cadence教程之如何使用VieloSo模擬設(shè)計(jì)環(huán)境進(jìn)行設(shè)計(jì)

本手冊(cè)描述如何使用VieloSo模擬設(shè)計(jì)環(huán)境來(lái)模擬模擬設(shè)計(jì)。VieloSo模擬設(shè)計(jì)環(huán)境被記錄在一系列在線(xiàn)手冊(cè)中。下面的文件給你更多的信息?!ieloSo高級(jí)分析工具用戶(hù)指南提供有關(guān)蒙特卡洛、優(yōu)化和統(tǒng)計(jì)分析的信息。
2018-09-20 08:00:000

思科技攜手IBM,通過(guò)DTCO創(chuàng)新加速后FinFET工藝開(kāi)發(fā)

采用新思科技Sentaurus、Process Explorer、StarRC、SiliconSmart、PrimeTime和IC Compiler II,DTCO方法學(xué)降低了先進(jìn)半導(dǎo)體工藝開(kāi)發(fā)的成本,并加快了上市速度。
2018-09-21 11:53:527913

思科助力三星5nm/4nm/3nm工藝加速

近日,全球知名的EDA工具廠(chǎng)商新思科技(Synopsys)宣布,面向三星7LPP(7nm Low Power Plus)和更先進(jìn)工藝的良率學(xué)習(xí)平臺(tái)設(shè)計(jì)取得了重大突破,這將為三星后續(xù)5nm、4nm、3nm工藝的量產(chǎn)和良品率的提升奠定堅(jiān)實(shí)基礎(chǔ)。
2019-07-09 17:13:484225

思科助力,三星5nm、4nm、3nm工藝加速

全球知名的EDA工具廠(chǎng)商新思科技(Synopsys)宣布,面向三星7LPP(7nm Low Power Plus)和更先進(jìn)工藝的良率學(xué)習(xí)平臺(tái)設(shè)計(jì)取得了重大突破
2019-07-11 14:49:473325

富士通半導(dǎo)體采用Titan大幅提高模擬設(shè)計(jì)的生產(chǎn)率

微捷碼(Magma)設(shè)計(jì)自動(dòng)化有限公司日前宣布,Titan模擬設(shè)計(jì)加速器(TitanADX)已為富士通半導(dǎo)體有限公司(FujitsuSemiconductor)所采用。
2019-12-20 15:18:491261

PSoC Creator模擬設(shè)計(jì):如何解決模擬電壓?jiǎn)栴}

本視頻介紹了采用PSoC Creator進(jìn)行模擬設(shè)計(jì)的各種技巧和注意事項(xiàng)。
2020-07-01 12:16:001888

PSoC Creator模擬設(shè)計(jì):Analog Device Viewer

本視頻介紹了采用PSoC Creator進(jìn)行模擬設(shè)計(jì)的各種技巧和注意事項(xiàng)。
2020-07-01 12:41:002668

PSoC Creator模擬設(shè)計(jì):引腳放置的模擬及注意事項(xiàng)

本視頻介紹了采用PSoC Creator進(jìn)行模擬設(shè)計(jì)的各種技巧和注意事項(xiàng)。
2020-07-01 12:04:003262

思科技與TSMC合作為封裝解決方案提供經(jīng)認(rèn)證的設(shè)計(jì)流程

,可實(shí)現(xiàn)可靠的簽核和設(shè)計(jì)實(shí)時(shí)分析 新思科技(Synopsys)近日宣布與TSMC合作,為先進(jìn)封裝解決方案提供經(jīng)認(rèn)證的設(shè)計(jì)流程。這些解決方案使用新思科技3DIC Compiler產(chǎn)品,進(jìn)行CoWoS-S
2020-10-14 11:11:212099

思科技與三星開(kāi)展合作,充分釋放三星工藝優(yōu)勢(shì)

思科技與三星基于Fusion Design Platform開(kāi)展合作,充分釋放三星在最先進(jìn)節(jié)點(diǎn)工藝的優(yōu)勢(shì) 經(jīng)過(guò)認(rèn)證的流程為開(kāi)發(fā)者提供了一整套針對(duì)時(shí)序和提取的業(yè)界領(lǐng)先數(shù)字實(shí)現(xiàn)和簽核解決方案 新思科
2021-01-13 16:01:181903

紫光國(guó)芯:先進(jìn)工藝下的全流程芯片設(shè)計(jì)服務(wù)

的“芯云智聯(lián) 擎領(lǐng)未來(lái) 云上芯片設(shè)計(jì)技術(shù)沙龍”在西安成功舉辦。 紫光國(guó)芯設(shè)計(jì)服務(wù)部總監(jiān)王成偉在會(huì)上分享了《先進(jìn)工藝下的全流程芯片設(shè)計(jì)服務(wù)》。王成偉介紹,先進(jìn)工藝SoC芯片研發(fā)面臨著研發(fā)難度高、驗(yàn)證和測(cè)試覆蓋率要求高、物理驗(yàn)證規(guī)則
2021-04-29 09:44:113264

GF已在其22FDX工藝中認(rèn)證兩項(xiàng)新思科技參考流程

雙方共同推出的工藝設(shè)計(jì)套件和經(jīng)認(rèn)證參考流程加速高性能汽車(chē)、邊緣人工智能和5G SoC的開(kāi)發(fā) 全球半導(dǎo)體制造領(lǐng)先企業(yè)GlobalFoundries(GF)聯(lián)合新思科技(Synopsys)近日宣布
2021-11-17 14:38:411609

思科技全新解決方案助力加速IC設(shè)計(jì)流程

解決方案能夠?qū)崿F(xiàn)全面的數(shù)據(jù)可視化和AI自動(dòng)優(yōu)化設(shè)計(jì),助力提高先進(jìn)節(jié)點(diǎn)的芯片設(shè)計(jì)生產(chǎn)力。該解決方案將為所有開(kāi)發(fā)者提供實(shí)時(shí)、統(tǒng)一、360度視圖,以加快決策過(guò)程,通過(guò)更深入地了解運(yùn)行、設(shè)計(jì)、項(xiàng)目之間的趨勢(shì)來(lái)加強(qiáng)芯片的開(kāi)發(fā)協(xié)作。
2022-06-02 16:09:442433

Cadence數(shù)字和定制 / 模擬設(shè)計(jì)流程獲得N4P工藝認(rèn)證

楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)今日宣布,其數(shù)字和定制 / 模擬設(shè)計(jì)流程已獲得 TSMC N3E 和 N4P 工藝認(rèn)證,支持最新的設(shè)計(jì)規(guī)則手冊(cè)(DRM)。
2022-06-17 17:33:054800

思科技推出面向臺(tái)積公司N6RF工藝全新射頻設(shè)計(jì)流程

思科技(Synopsys)近日推出面向臺(tái)積公司N6RF工藝的全新射頻設(shè)計(jì)流程,以滿(mǎn)足日益復(fù)雜的射頻集成電路設(shè)計(jì)需求。
2022-06-24 14:30:13868

是德科技與新思科技共同合作,支持臺(tái)積電N6RF設(shè)計(jì)參考流程

來(lái)源:是德科技 PathWave RFPro 與新思科技定制化編譯器相輔相成,可提供無(wú)線(xiàn)晶片設(shè)計(jì)工作流程所需的整合式電磁模擬工具 是德科技(Keysight Technologies Inc.
2022-06-27 14:41:38667

思科技獲得臺(tái)積公司的N3E和N4P工藝認(rèn)證

思科技數(shù)字和定制設(shè)計(jì)流程獲得臺(tái)積公司的N3E和N4P工藝認(rèn)證,并已推出面向該工藝的廣泛IP核組合。
2022-07-12 11:10:51877

Cadence數(shù)字和定制/模擬設(shè)計(jì)流程獲得臺(tái)積電最新N4P和N3E工藝認(rèn)證

中國(guó)上海,2022 年 10 月 27 日 —— 楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)今日宣布,Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程已獲得臺(tái)積電最新 N4P 和 N3E
2022-10-27 11:01:37940

思科技EDA和IP完整解決方案獲臺(tái)積公司N3E工藝認(rèn)證,加速HPC、AI、和移動(dòng)領(lǐng)域設(shè)計(jì)

,納斯達(dá)克股票代碼:SNPS )近日宣布,得益于與臺(tái)積公司的長(zhǎng)期合作,新思科技針對(duì)臺(tái)積公司N3E工藝技術(shù)取得了多項(xiàng)關(guān)鍵成就,共同推動(dòng)先進(jìn)工藝節(jié)點(diǎn)的持續(xù)創(chuàng)新。新思科技經(jīng)產(chǎn)品驗(yàn)證的數(shù)字和定制設(shè)計(jì)流程已在臺(tái)積公司N3E工藝上獲得認(rèn)證。此外,該流程和新思科技廣泛的
2022-11-08 13:37:191358

新思攜手臺(tái)積公司推動(dòng)半導(dǎo)體創(chuàng)新,以N3E工藝加速前沿應(yīng)用芯片設(shè)計(jì)

工藝技術(shù)取得了多項(xiàng)關(guān)鍵成就,共同推動(dòng)先進(jìn)工藝節(jié)點(diǎn)的持續(xù)創(chuàng)新。新思科技經(jīng)產(chǎn)品驗(yàn)證的數(shù)字和定制設(shè)計(jì)流程已在臺(tái)積公司N3E工藝上獲得認(rèn)證。此外,該流程和新思科技廣泛的基礎(chǔ)IP、接口IP組合已經(jīng)在臺(tái)積公司N3E工藝上實(shí)現(xiàn)了多項(xiàng)成功流片,助力
2022-11-10 11:15:22502

思科技、Ansys和是德科技推出面向臺(tái)積公司16FFC工藝的全新毫米波參考流程,持續(xù)加速5G/6G SoC開(kāi)發(fā)效率

為滿(mǎn)足5G/6G SoC對(duì)性能和功耗的嚴(yán)苛需求,新思科技(Synopsys,Inc.,納斯達(dá)克股票代碼:SNPS)、Ansys和是德科技近日宣布,推出針對(duì)臺(tái)積公司16納米精簡(jiǎn)型工藝技術(shù)(16FFC
2022-11-16 16:24:19570

思科技面向臺(tái)積公司先進(jìn)技術(shù)推出多裸晶芯片設(shè)計(jì)解決方案,共同推動(dòng)系統(tǒng)級(jí)創(chuàng)新

工藝技術(shù)的2D/2.5D/3D多裸晶芯片系統(tǒng)。基于與臺(tái)積公司在3DFabric?技術(shù)和3Dblox?標(biāo)準(zhǔn)中的合作,新思科提供了一系列全面的、系統(tǒng)級(jí)的、經(jīng)過(guò)產(chǎn)品驗(yàn)證的解決方案,助力共同客戶(hù)能夠滿(mǎn)足復(fù)雜的多裸晶芯片系統(tǒng)對(duì)于功耗和性能的嚴(yán)苛要求。
2022-11-16 16:25:43877

Cadence定制設(shè)計(jì)遷移流程加快臺(tái)積電N3E和N2工藝技術(shù)的采用速度

楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Virtuoso Design Platform 的節(jié)點(diǎn)到節(jié)點(diǎn)設(shè)計(jì)遷移流程,能兼容所有的臺(tái)積電先進(jìn)節(jié)點(diǎn)
2023-05-06 15:02:15801

Cadence數(shù)字和定制/模擬設(shè)計(jì)流程獲得TSMC最新N3E和N2工藝技術(shù)認(rèn)證

楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程已通過(guò) TSMC N3E 和 N2 先進(jìn)工藝的設(shè)計(jì)規(guī)則手冊(cè)(DRM)認(rèn)證。兩家公司還發(fā)
2023-05-09 10:09:23708

思科技利用優(yōu)化的EDA流程快速啟動(dòng)臺(tái)積電N2 製程設(shè)計(jì)

為了不斷滿(mǎn)足新一代系統(tǒng)單晶片(SoC) 的嚴(yán)格設(shè)計(jì)目標(biāo),新思科技在臺(tái)積電最先進(jìn)的 N2 製程中提供數(shù)位與客製化設(shè)計(jì) EDA 流程。相較於N3E 製程,臺(tái)積公司N2 製程採(cǎi)用奈米片(nanosheet
2023-05-11 19:02:351996

頂級(jí)組合!新思科技聯(lián)合三方推出全新射頻設(shè)計(jì)流程,引領(lǐng)自動(dòng)駕駛新革命

針對(duì)臺(tái)積公司16FFC的79GHz毫米波射頻設(shè)計(jì)流程加速自動(dòng)駕駛系統(tǒng)中射頻集成電路的開(kāi)發(fā)。 新思科技、Ansys和是德科技近日宣布,推出針對(duì)臺(tái)積公司16納米精簡(jiǎn)型工藝技術(shù)(16FFC)的全新
2023-05-17 05:45:01232

思科技、臺(tái)積公司和Ansys強(qiáng)化生態(tài)系統(tǒng)合作,共促多裸晶芯片系統(tǒng)發(fā)展

股票代碼:SNPS)近日宣布,攜手臺(tái)積公司和Ansys持續(xù)加強(qiáng)多裸晶芯片系統(tǒng)設(shè)計(jì)與制造方面的合作,助力加速異構(gòu)芯片集成以實(shí)現(xiàn)下一階段的系統(tǒng)可擴(kuò)展性和功能。得益于與臺(tái)積公司在3DFabric?技術(shù)和3Dblox?標(biāo)準(zhǔn)中的合作,新思科技能夠?yàn)榕_(tái)積公司先進(jìn)的7納米、5納米和3納米工藝
2023-05-17 15:43:06229

思科技、臺(tái)積公司和Ansys強(qiáng)化生態(tài)系統(tǒng)合作,共促多裸晶芯片系統(tǒng)發(fā)展

在3DFabric?技術(shù)和3Dblox?標(biāo)準(zhǔn)中的合作,新思科技能夠?yàn)榕_(tái)積公司先進(jìn)的7納米、5納米和3納米工藝技術(shù)上的多裸晶芯片系統(tǒng)設(shè)計(jì),提供業(yè)界領(lǐng)先的全方位EDA和IP解決方案。臺(tái)積公司先進(jìn)工藝技術(shù)集成
2023-05-18 16:04:08790

認(rèn)識(shí)Cisco Packet Tracer思科模擬

Packet Tracer 是由Cisco公司發(fā)布的一個(gè)輔助學(xué)習(xí)工具,為學(xué)習(xí)思科網(wǎng)絡(luò)課程的初學(xué)者去設(shè)計(jì)、配置、排除網(wǎng)絡(luò)故障提供了網(wǎng)絡(luò)模擬環(huán)境。
2023-06-07 10:03:112226

思科技與三星擴(kuò)大IP合作,加速新興領(lǐng)域先進(jìn)SoC設(shè)計(jì)

面向三星8LPU、SF5 (A)、SF4 (A)和SF3工藝的新思科技接口和基礎(chǔ)IP,加速先進(jìn)SoC設(shè)計(jì)的成功之路 摘要: 新思科技接口IP適用于USB、PCI Express、112G以太網(wǎng)
2023-06-30 13:40:14341

Cadence Virtuoso Studio流程獲得Samsung Foundry認(rèn)證,支持先進(jìn)工藝技術(shù)的模擬IP自動(dòng)遷移

內(nèi)容提要 1 輕松實(shí)現(xiàn)節(jié)點(diǎn)到節(jié)點(diǎn)的設(shè)計(jì)和 layout 遷移 2 將定制/模擬設(shè)計(jì)遷移速度提升 2 倍 3 Cadence Virtuoso Studio 針對(duì)所有 Samsung Foundry
2023-07-04 10:10:01471

Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程獲得 Samsung Foundry SF2 和 SF3 工藝技術(shù)認(rèn)證

已經(jīng)過(guò) SF2 和 SF3 流程認(rèn)證 ●? Cadence 數(shù)字全流程針對(duì)先進(jìn)節(jié)點(diǎn)實(shí)現(xiàn)了最佳 PPA 結(jié)果 ● Cadence 定制/模擬工具,包括基于 AI 的 Virtuoso Studio
2023-07-05 10:10:01322

Cadence 數(shù)字、定制/模擬設(shè)計(jì)流程通過(guò)認(rèn)證,Design IP 現(xiàn)已支持 Intel 16 FinFET 制程

的 Cadence 流程,以十足把握交付各類(lèi) HPC 及消費(fèi)電子應(yīng)用 中國(guó)上海,2023 年 7 月 14 日——楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)近日宣布其數(shù)字和定制/模擬
2023-07-14 12:50:02381

兩大IP擴(kuò)大IP合作,新思科技攜手三星加速新興領(lǐng)域復(fù)雜SoC設(shè)計(jì)

存儲(chǔ)器、TCAM和GPIO,可以在各先進(jìn)節(jié)點(diǎn)上提供行業(yè)領(lǐng)先的功耗、性能和面積(PPA) 新思科技車(chē)規(guī)級(jí)IP集成到三星的工藝中,有助于確保ADAS、動(dòng)力總成和雷達(dá)SoC的長(zhǎng)期運(yùn)行并提高可靠性 三星工藝中集成了廣泛的IP組合,并在新思科技經(jīng)過(guò)認(rèn)證的數(shù)字和定制設(shè)計(jì)流程的加持下,共同加速流片成功
2023-07-26 17:40:03255

全面支持Intel 16!新思科技EDA流程及IP獲認(rèn)證,攜手推動(dòng)成熟應(yīng)用領(lǐng)域創(chuàng)新

技的解決方案可在英特爾代工服務(wù)提供的制程工藝上實(shí)現(xiàn)安全且先進(jìn)的微電子技術(shù)開(kāi)發(fā) 新思科技(Synopsys)近日宣布,其搭載了Synopsys.ai全棧式AI驅(qū)動(dòng)型EDA解決方案的數(shù)字和定制設(shè)計(jì)流程已經(jīng)通過(guò)英特爾代工服務(wù)(IFS)的Intel 16制程工藝認(rèn)證,以助力簡(jiǎn)化功耗和空間受限型應(yīng)用
2023-08-07 18:45:03334

思科技IP成功在臺(tái)積公司3nm工藝實(shí)現(xiàn)流片

基于臺(tái)積公司N3E工藝技術(shù)的新思科技IP能夠?yàn)橄M档图娠L(fēng)險(xiǎn)并加快首次流片成功的芯片制造商建立競(jìng)爭(zhēng)優(yōu)勢(shì)
2023-08-24 17:37:47657

思科技3DIC Compiler獲得三星多裸晶芯集成工藝流程的認(rèn)證

思科技經(jīng)認(rèn)證的多裸晶芯片系統(tǒng)設(shè)計(jì)參考流程和安全的Die-to-Die IP解決方案,加速了三星SF 5/4/3工藝和I-Cube及X-Cube技術(shù)的設(shè)計(jì)和流片成功。 新思科技3DIC
2023-09-14 09:38:28839

Cadence 定制/模擬設(shè)計(jì)遷移流程加速 TSMC 先進(jìn)制程技術(shù)的采用

流程,能兼容所有的 TSMC(臺(tái)積電)先進(jìn)節(jié)點(diǎn),包括最新的 N3E 和 N2 工藝技術(shù)。 這款生成式設(shè)計(jì)遷移流程由 Cadence 和 TSMC 共同開(kāi)發(fā),旨在實(shí)現(xiàn)定制和模擬 IC 設(shè)計(jì)在 TSMC
2023-09-27 10:10:04301

思科技設(shè)備在臺(tái)積電流片2nm芯片

N2納米片工藝的數(shù)字設(shè)計(jì)流程正在實(shí)現(xiàn)多次流片,而模擬設(shè)計(jì)流程已在多個(gè)設(shè)計(jì)啟動(dòng)中采用。預(yù)計(jì)將于2024年提供樣品。 這是在領(lǐng)先工藝上同時(shí)提供模擬設(shè)計(jì)流程和庫(kù)與數(shù)字設(shè)計(jì)流程和庫(kù)的重大舉措,特別是從FINFET晶體管轉(zhuǎn)向納米片、全柵(GAA)器件之際。由此需要新的設(shè)計(jì)和
2023-10-08 16:49:24285

Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程獲 TSMC 最新 N2 工藝認(rèn)證

的生產(chǎn)力 基于 AI 驅(qū)動(dòng)的 Virtuoso Studio 的定制/模擬流程支持電路優(yōu)化,功能經(jīng)過(guò)增強(qiáng),可將設(shè)計(jì)遷移吞吐量提升 3 倍 雙方的共同客戶(hù)正在積極使用 N2 PDK 來(lái)開(kāi)發(fā) AI、大規(guī)模計(jì)算
2023-10-10 16:05:04270

思科技攜手臺(tái)積公司加速2nm工藝創(chuàng)新,為先進(jìn)SoC設(shè)計(jì)提供經(jīng)認(rèn)證的數(shù)字和模擬設(shè)計(jì)流程

。 Synopsys.ai? EDA解決方案中的模擬設(shè)計(jì)遷移流程可實(shí)現(xiàn)臺(tái)積公司工藝節(jié)點(diǎn)的快速設(shè)計(jì)遷移。 新思科技接口IP和基礎(chǔ)IP的廣泛產(chǎn)品組合正在開(kāi)發(fā)中,將助力縮短設(shè)計(jì)周期并降低集成風(fēng)險(xiǎn)。 ? 加利福尼亞州桑尼維爾, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:22104

思科技攜手臺(tái)積公司加速N2工藝下的SoC創(chuàng)新

思科技近日宣布,其數(shù)字和定制/模擬設(shè)計(jì)流程已通過(guò)臺(tái)積公司N2工藝技術(shù)認(rèn)證,能夠幫助采用先進(jìn)工藝節(jié)點(diǎn)的SoC實(shí)現(xiàn)更快、更高質(zhì)量的交付。新思科技這兩類(lèi)芯片設(shè)計(jì)流程的發(fā)展勢(shì)頭強(qiáng)勁,其中數(shù)字設(shè)計(jì)流程已實(shí)現(xiàn)
2023-10-24 16:42:06475

思科技攜手是德科技、Ansys面向臺(tái)積公司4 納米射頻FinFET工藝推出全新參考流程助力加速射頻芯片設(shè)計(jì)

摘要: 全新參考流程針對(duì)臺(tái)積公司 N4PRF 工藝打造,提供開(kāi)放、高效的射頻設(shè)計(jì)解決方案。 業(yè)界領(lǐng)先的電磁仿真工具將提升WiFi-7系統(tǒng)的性能和功耗效率。 集成的設(shè)計(jì)流程提升了開(kāi)發(fā)者的生產(chǎn)率,提高了
2023-10-30 16:13:05106

思科技可互操作工藝設(shè)計(jì)套件助力開(kāi)發(fā)者快速上手模擬設(shè)計(jì)

計(jì) 新思科技攜手Ansys 和 Keysight 共同推出全新射頻設(shè)計(jì)參考流程,能夠?yàn)楝F(xiàn)代射頻集成電路設(shè)計(jì)提供完整解決方案 新思科技(Synopsys)近日宣布,其模擬設(shè)計(jì)遷移流程已應(yīng)用于臺(tái)積公司N4P、N3E 和 N2 在內(nèi)的多項(xiàng)先進(jìn)工藝。作為新思科技定制設(shè)計(jì)系列產(chǎn)品
2023-11-09 10:59:40436

思科技攜手合作伙伴開(kāi)發(fā)針對(duì)臺(tái)積公司N4P工藝的射頻設(shè)計(jì)參考流程

(RF)設(shè)計(jì)和接口IP五項(xiàng)大獎(jiǎng)。新思科技與臺(tái)積公司長(zhǎng)期穩(wěn)固合作,持續(xù)提供經(jīng)過(guò)驗(yàn)證的解決方案,包括由Synopsys.ai全棧式AI驅(qū)動(dòng)型EDA解決方案支持的認(rèn)證設(shè)計(jì)流程,幫助共同客戶(hù)加快創(chuàng)新型人工智能
2023-11-14 10:31:46376

思科技于2023臺(tái)積公司OIP生態(tài)系統(tǒng)論壇上榮獲多項(xiàng)年度合作伙伴大獎(jiǎng)

多個(gè)獎(jiǎng)項(xiàng)高度認(rèn)可新思科技在推動(dòng)先進(jìn)工藝硅片成功和技術(shù)創(chuàng)新領(lǐng)導(dǎo)方面所做出的卓越貢獻(xiàn) 摘要 : 新思科技全新數(shù)字與模擬設(shè)計(jì)流程認(rèn)證針對(duì)臺(tái)積公司N2和N3P工藝提供經(jīng)驗(yàn)證的功耗、性能和面積(PPA)結(jié)果
2023-11-14 14:18:45120

模擬設(shè)計(jì)中噪聲分析的11個(gè)誤區(qū)

電子發(fā)燒友網(wǎng)站提供模擬設(shè)計(jì)中噪聲分析的11個(gè)誤區(qū).pdf》資料免費(fèi)下載
2023-11-28 10:25:190

思科技與英特爾深化合作,以新思科技IP和經(jīng)Intel 18A工藝認(rèn)證的EDA流程加速先進(jìn)芯片設(shè)計(jì)

?芯片制造商與EDA解決方案和廣泛的IP組合緊密合作, 能夠提升產(chǎn)品性能并加快上市時(shí)間 摘要: 新思科技數(shù)字和模擬EDA流程經(jīng)過(guò)認(rèn)證和優(yōu)化,針對(duì)Intel 18A工藝實(shí)現(xiàn)功耗、性能和面積目標(biāo)
2024-03-05 10:16:5984

思科技攜手英特爾加速Intel 18A工藝下高性能芯片設(shè)計(jì)

思科技數(shù)字和模擬 EDA 流程經(jīng)過(guò)認(rèn)證和優(yōu)化,針對(duì)Intel 18A工藝實(shí)現(xiàn)功耗、性能和面積目標(biāo)
2024-03-05 17:23:44238

思科技與英特爾深化合作加速先進(jìn)芯片設(shè)計(jì)

近日,新思科技與英特爾宣布深化合作,共同加速先進(jìn)芯片設(shè)計(jì)的步伐。據(jù)悉,新思科技的人工智能驅(qū)動(dòng)的數(shù)字和模擬設(shè)計(jì)流程已經(jīng)成功通過(guò)英特爾代工的Intel 18A工藝認(rèn)證,這一突破性的進(jìn)展標(biāo)志著雙方在芯片設(shè)計(jì)領(lǐng)域的合作邁上了新臺(tái)階。
2024-03-06 10:33:59180

已全部加載完成