電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于FPGA VHDL的ASK調(diào)制與解調(diào)

基于FPGA VHDL的ASK調(diào)制與解調(diào)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

ASK信號(hào)的解調(diào)原理 ASK解調(diào)技術(shù)的FPGA實(shí)現(xiàn)

完整的ASK解調(diào)電路包括基帶解調(diào)及位同步時(shí)鐘的提取,對(duì)于數(shù)字解調(diào)系統(tǒng)來講,我們需要在接收端獲得與發(fā)送端相同的數(shù)據(jù)信息,最終輸出的結(jié)果是數(shù)據(jù)流,以及與數(shù)據(jù)流同步的位同步時(shí)鐘信號(hào)。
2020-11-03 11:14:5623616

解讀數(shù)字通信原理實(shí)驗(yàn)FSK(ASK調(diào)制解調(diào)實(shí)驗(yàn)

解讀數(shù)字通信原理實(shí)驗(yàn)FSK(ASK調(diào)制解調(diào)實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康?1.掌握FSK(ASK調(diào)制的工作原理及電路組成。 2.掌握利用鎖相環(huán)解調(diào)FSK的原理和實(shí)現(xiàn)方法。 二、實(shí)驗(yàn)電路工作原理 圖9-1
2022-09-22 18:25:3629708

4FSK調(diào)制解調(diào)

有沒有4FSK調(diào)制解調(diào)的程序呀,我只做出了4ASK的,4FSK好難
2019-04-17 10:12:59

ASK調(diào)制VHDL程序及仿真

ASK調(diào)制VHDL程序及仿真 ASK調(diào)制VHDL程序--文件名:PL_ASK--功能:基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行ASK振幅調(diào)制--最后修改
2008-06-27 11:06:49

ASK調(diào)制解調(diào)的原理是什么?

ASK調(diào)制原理是什么?ASK解調(diào)原理是什么?
2021-11-22 06:43:02

ASK調(diào)制原理振幅鍵控

##ASK調(diào)制原理振幅鍵控是正弦載波的幅度隨數(shù)字基帶信號(hào)而變化的數(shù)字調(diào)制。當(dāng)數(shù)字基帶信號(hào)為二進(jìn)制時(shí),則為二進(jìn)制振幅鍵控。設(shè)發(fā)送的 二進(jìn)制符號(hào)序列由0、1序列組成,發(fā)送0符號(hào)的概率為1-P,發(fā)送1符號(hào)
2021-08-17 07:21:36

ASk調(diào)制解調(diào)

想將一個(gè)數(shù)字波形調(diào)制上變頻到915MHz,該怎么辦啊,求各位大神指導(dǎo),怎么設(shè)計(jì)單邊帶,雙邊帶ASK?
2017-03-28 16:50:57

FPGA 編程開發(fā)實(shí)例

;URAT VHDL程序與仿真; ASK調(diào)制解調(diào)VHDL程序及仿真;FSK調(diào)制解調(diào)VHDL程序及仿真;PSK調(diào)制解調(diào)VHDL程序及仿真;&nbsp
2008-06-27 10:41:44

FPGA畢業(yè)論文選題大全

  基于FPGA的DDS波形發(fā)生器  基于FPGA的數(shù)字頻率計(jì)  FPGA實(shí)現(xiàn)的準(zhǔn)同步復(fù)接器  FFSK調(diào)制解調(diào)器的VHDL建模與設(shè)計(jì)  基于FPGA誤碼檢測(cè)電路的設(shè)計(jì)  基于FGPA的數(shù)字濾波器的實(shí)現(xiàn)
2012-02-10 10:40:31

FPGA的2PSK數(shù)字調(diào)制解調(diào)代碼

誰有基于FPGA的2PSK數(shù)字調(diào)制解調(diào)Verilog代碼,有的發(fā)份我學(xué)習(xí)下,謝啦!QQ:975406162
2013-07-23 19:38:45

fpga很有價(jià)值的27教程

VHDL控制程序;DAC0832 接口電路程序;TLC7524接口電路程序; URAT VHDL程序與仿真; ASK調(diào)制解調(diào)VHDL程序及仿真;FSK調(diào)制解調(diào)VHDL程序及仿真;PSK調(diào)制解調(diào)
2008-05-20 09:51:33

AM調(diào)制解調(diào)原理是什么?

FPGA工程怎么搭建?AM調(diào)制解調(diào)原理是什么?
2021-11-22 06:51:12

FSK調(diào)制解調(diào)VHDL程序及仿真

FSK調(diào)制解調(diào)VHDL程序及仿真1. FSK調(diào)制VHDL程序--文件名:PL_FSK--功能:基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行FSK調(diào)制--最后修改
2008-06-27 11:06:20

LabVIEW+2ASK信號(hào)調(diào)制

本人正在弄一個(gè)項(xiàng)目,,正弦信號(hào)經(jīng)過2ASK調(diào)制后由套索傳輸(即自行車剎車線),利用LabVIEW和數(shù)據(jù)采集卡對(duì)其進(jìn)行采集,求大神如何對(duì)其解調(diào)并抽樣判決(編碼)?其判決門限如何進(jìn)行調(diào)制?
2016-03-29 23:23:35

Labview中2ASK調(diào)制解調(diào)

請(qǐng)問2ASK調(diào)制解調(diào)的基帶信號(hào)和載波信號(hào)解調(diào)的具體步驟,謝謝
2018-04-13 20:53:42

MPSK調(diào)制解調(diào)VHDL程序與仿真

MPSK調(diào)制解調(diào)VHDL程序與仿真2. MPSK調(diào)制程序及注釋--文件名:PL_MPSK--功能:基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行MPSK調(diào)制(這里M=4)--說明:調(diào)制信號(hào)說明如表
2008-06-27 11:02:44

OOK調(diào)制解調(diào)FPGA實(shí)現(xiàn),求Verilog代碼

自己對(duì)FPGA剛開始學(xué)習(xí),但又特別需要用到FPGA實(shí)現(xiàn)OOK的調(diào)制解調(diào),求幫忙,由于是新人,還只有一個(gè)積分,太可憐了。求大神幫忙。
2021-11-26 16:11:04

[求助]急需16QAM調(diào)制解調(diào)VHDL代碼

如題,目前急需16QAM調(diào)制解調(diào)VHDL代碼,哪位朋友可以幫幫忙?QAM的也行!先謝謝了!
2010-04-01 21:39:12

cdma系統(tǒng)的調(diào)制解調(diào)

cdma系統(tǒng)的調(diào)制解調(diào)是指信息的調(diào)制解調(diào) pn碼實(shí)現(xiàn)對(duì)信息的擴(kuò)頻,大家怎樣解決cdma蜂窩數(shù)字移動(dòng)通信系統(tǒng)的調(diào)制解調(diào)
2009-12-03 21:20:06

labview調(diào)制解調(diào)

如何應(yīng)用labview產(chǎn)生一個(gè)隨機(jī)二進(jìn)制序列并表示出波形來,然后在用2ASk進(jìn)行調(diào)制解調(diào)。
2016-05-07 16:39:32

【資料分享】基于FPGA的FSK調(diào)制解器器設(shè)計(jì)

的2FSK信號(hào)調(diào)制解調(diào)器,利用m序列的隨機(jī)性和確定性來產(chǎn)生輸入基帶信號(hào),用分頻器把時(shí)鐘信號(hào)分頻成兩個(gè)不同頻率的信號(hào)。詳細(xì)介紹了基于FPGA的2FSK信號(hào)發(fā)生器的設(shè)計(jì)方法,提供了VHDL源代碼在
2014-03-20 16:54:46

關(guān)于ASK調(diào)制深度的問題?

現(xiàn)在我想用ASK對(duì)信號(hào)進(jìn)行調(diào)制,我想要的效果是當(dāng)調(diào)制數(shù)據(jù)“0”的時(shí)候通過調(diào)制深度讓波形接近于0但不為0,可ASK的vi里面沒有調(diào)制深度的接口,不知道有沒有熟悉的人知道該怎么弄?
2016-06-27 09:52:24

關(guān)于ASK超高頻的解調(diào),求助!

我的基帶信號(hào)頻率10M,載波頻率915M,打算采用ASK調(diào)制解調(diào),調(diào)制是直接將基帶信息加到載波上,解調(diào)時(shí),打算先濾波在進(jìn)行積分判決,可在操作中發(fā)現(xiàn),由于我的數(shù)據(jù)量太大,在積分判決的時(shí)候運(yùn)行時(shí)間會(huì)較長(zhǎng),所以這種解調(diào)方法不行,請(qǐng)問一下我該用什么方法解調(diào)?
2016-07-07 15:28:05

哪位大神用FPGA實(shí)現(xiàn)過OFDM調(diào)制解調(diào)?

哪位大神用FPGA實(shí)現(xiàn)過OFDM調(diào)制解調(diào)?
2015-07-02 22:26:44

基于VHDL方式實(shí)現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì)

本文基于VHDL方式實(shí)現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì),通過QuartusII軟件建模對(duì)程序進(jìn)行仿真,并通過引腳鎖定,下載到FPGA芯片EP1K30TC144—3中,軟件仿真和硬件驗(yàn)證結(jié)果表明了該
2020-12-18 06:03:26

基于labview的4ASK 4FSK 4PSK 調(diào)制解調(diào)程序 求好人發(fā)個(gè)

基于labview的4ASK 4FSK 4PSK 調(diào)制解調(diào)程序 求好人發(fā)個(gè)
2014-04-02 19:54:13

如何利用FPGA去實(shí)現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?

中頻調(diào)制解調(diào)系統(tǒng)具有哪些特點(diǎn)?如何利用FPGA去實(shí)現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?
2021-04-28 07:21:00

如何利用FPGAVHDL語言實(shí)現(xiàn)PCM碼的解調(diào)

利用現(xiàn)場(chǎng)可編程門陣列(FPGA)和VHDL 語言實(shí)現(xiàn)了PCM碼的解調(diào),這樣在不改變硬件電路的情況下,能夠適應(yīng)PCM碼傳輸速率和幀結(jié)構(gòu)變化,從而正確解調(diào)數(shù)據(jù)。
2021-05-07 06:58:37

如何很好的實(shí)現(xiàn)ASK調(diào)制解調(diào)?

怎樣很好的實(shí)現(xiàn)ASK調(diào)制解調(diào)
2019-09-20 03:54:30

如何設(shè)計(jì)一個(gè)基于FPGA的直接序列擴(kuò)頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng)?

本文設(shè)計(jì)了一個(gè)基于FPGA 的直接序列擴(kuò)頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng),目的在于使水聲無線通信中具有更強(qiáng)的抗干擾性和保密性,系統(tǒng)中包含了信號(hào)的擴(kuò)頻及BPSK 調(diào)制以及相應(yīng)的解調(diào)模塊,并且在Modelsim 仿真軟件上驗(yàn)證成功。
2021-06-03 06:25:41

怎么實(shí)現(xiàn)基于FPGA的CDMA調(diào)制/解調(diào)模塊的設(shè)計(jì)?

本文設(shè)計(jì)了一個(gè)基于FPGA 的直接序列擴(kuò)頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng),目的在于使水聲無線通信中具有更強(qiáng)的抗干擾性和保密性,系統(tǒng)中包含了信號(hào)的擴(kuò)頻及BPSK 調(diào)制以及相應(yīng)的解調(diào)模塊,并且在Modelsim 仿真軟件上驗(yàn)證成功。
2021-05-24 06:41:18

怎樣用FPGA實(shí)現(xiàn)FSK調(diào)制解調(diào)呢?

最近想做這方面的,怎樣用FPGA實(shí)現(xiàn)FSK調(diào)制解調(diào)?但是我一點(diǎn)頭緒都沒有,哪位高手幫幫忙,講解一下什么的
2023-04-06 14:29:08

怎樣用FPGA實(shí)現(xiàn)FSK調(diào)制解調(diào)呢?

最近想做這方面的,怎樣用FPGA實(shí)現(xiàn)FSK調(diào)制解調(diào)?但是我一點(diǎn)頭緒都沒有,哪位高手幫幫忙,講解一下什么的
2023-05-08 17:34:09

數(shù)字通信系統(tǒng)中的ASK調(diào)制技術(shù)

的使用,具體情況可以的MATLAB的help中查詢。FPGA設(shè)計(jì)在Vivado開發(fā)環(huán)境下完成4ASK調(diào)制技術(shù)的設(shè)計(jì)并進(jìn)行仿真(為了能更直觀地觀察調(diào)制效果,這里省略了成形濾波器及帶通濾波器)。模塊接口
2020-09-28 09:16:34

有償問,鎖相環(huán)解調(diào)ask

鎖相環(huán)解調(diào)ask解調(diào)信號(hào)出不來,哪里錯(cuò)了啊誰能幫我改一下,做設(shè)計(jì)用的。有償求助。
2016-01-08 16:56:33

畢設(shè)要用fpga軟核實(shí)現(xiàn)液晶、鍵盤控制調(diào)制解調(diào)怎么入手

FPGA軟核控制鍵盤與液晶顯示,實(shí)現(xiàn)調(diào)制解調(diào)器人機(jī)接口界面。 主要參數(shù)指標(biāo)包括:可實(shí)現(xiàn)對(duì)調(diào)制解調(diào)11種傳輸模式的選擇和配置;可對(duì)載波鎖定、符號(hào)同步鎖定、幀同步鎖定等狀態(tài)信息進(jìn)行查詢和顯示。任務(wù)書如下:第
2014-03-16 23:39:13

求2ASK調(diào)制解調(diào)電路。。

求2ASK調(diào)制解調(diào)電路。。大神幫我 。實(shí)物制作啊 ?。?/div>
2016-09-16 23:42:59

求一種基于VHDL的4PSK信號(hào)的調(diào)制解調(diào)設(shè)計(jì)方案

4PSK調(diào)制解調(diào)原理是什么基于VHDL的4PSK信號(hào)的調(diào)制解調(diào)設(shè)計(jì)方案
2021-04-30 06:16:53

求大俠給個(gè)基帶信號(hào)的調(diào)制解調(diào)的方法和程序代碼

調(diào)制解調(diào)ask,fsk,psk,有沒有大俠解答?
2012-05-09 20:56:27

求問使用LABVIEW實(shí)現(xiàn)2ASK調(diào)制解調(diào)的程序框圖

自己做的2ASK調(diào)制信號(hào)只會(huì)在某一個(gè)頻率之后才會(huì)出來信號(hào),解調(diào)算法還不會(huì),有沒有大神幫助,謝謝
2018-04-10 16:14:13

求高手幫忙寫下Verilog的ASK調(diào)制解調(diào)的Testbench文件

//基于verilog HDL描述語言,對(duì)基帶信號(hào)進(jìn)行ASK振幅調(diào)制 module ASK_modulator(clk,start,x,y); input clk;//系統(tǒng)時(shí)鐘 input
2018-02-09 23:05:45

請(qǐng)問FPGA怎么解調(diào)模擬信號(hào)?

最近的課題需要使用FPGA解調(diào)PPM(脈沖位置調(diào)制)信號(hào)。發(fā)送端:使用激光發(fā)送數(shù)據(jù),調(diào)制方式為PPM調(diào)制。(說白了,就是光的高脈沖和光的低脈沖。用光的亮暗兩個(gè)狀態(tài)傳數(shù)據(jù))接收端:使用PIN光電二極管
2019-05-05 07:58:20

請(qǐng)問AD9963包括IQ調(diào)制

大家好,現(xiàn)有幾個(gè)問題需要您幫我解答下:我們這邊的理解是,FPGA進(jìn)行ASK調(diào)制和IQ分解,然后輸入給AD9963,可是AD9963芯片的管腳沒有IQ管腳之分,只有一個(gè)TXD[11:0],所以是
2018-08-31 11:00:49

課程設(shè)計(jì):基于FPGA的MPSK調(diào)制解調(diào)仿真設(shè)計(jì)

分析MPSK調(diào)制方式的研究仿真和通信的數(shù)字信號(hào)處理原理;通信原理中MPSK的調(diào)制解調(diào)及簡(jiǎn)單應(yīng)用;
2014-04-09 12:49:10

基于FPGA 的QPSK 調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)Design

數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢(shì)。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實(shí)現(xiàn)了QPSK調(diào)制
2009-06-09 09:06:44124

基于F PGA的QPS K調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)

數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢(shì)。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實(shí)現(xiàn)了QPSK調(diào)制解調(diào)
2009-07-22 15:42:250

基于FPGA的MSK調(diào)制解調(diào)器設(shè)計(jì)與應(yīng)用

提出了一種基于FPGA 的數(shù)字MSK 調(diào)制解調(diào)器設(shè)計(jì)方法,應(yīng)用VHDL 語言進(jìn)行了模塊設(shè)計(jì)和時(shí)序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上實(shí)現(xiàn)。結(jié)果表明,數(shù)字MSK調(diào)制解調(diào)器具有
2010-01-25 14:29:5353

FPGA在軟件無線電中的工程應(yīng)用之?dāng)?shù)字調(diào)制解調(diào)

FPGA在軟件無線電中的工程應(yīng)用之?dāng)?shù)字調(diào)制解調(diào)
2010-02-09 11:14:4860

PSK調(diào)制解調(diào)VHDL程序及仿真

1. CPSK調(diào)制VHDL
2010-06-22 16:06:1742

VHDL實(shí)現(xiàn)PCM碼解調(diào)程序模塊設(shè)計(jì)

依據(jù)VHDL程序設(shè)計(jì)出針對(duì)現(xiàn)場(chǎng)可編程門陣列(FPGA)的脈沖編碼調(diào)制(PCM)碼解調(diào)電路。解調(diào)數(shù)據(jù)過程分為位同步、字節(jié)同步、幀同步和串并轉(zhuǎn)換,并對(duì)相關(guān)程序模塊進(jìn)行仿真。通過調(diào)
2010-12-21 10:12:3675

基于FPGA的AM調(diào)制解調(diào),調(diào)制采用ROM+任意載波頻率,解調(diào)采用FIR

fpga調(diào)制解調(diào)載波FIR解調(diào)
奔跑的小鑫發(fā)布于 2022-09-26 10:47:53

什么是調(diào)制解調(diào)

什么是調(diào)制解調(diào) 調(diào)制解調(diào): 所謂調(diào)制,就是用一個(gè)信號(hào)(原信號(hào)也稱調(diào)制信號(hào))去控制另一個(gè)信號(hào)(載波信
2008-09-05 00:23:153760

調(diào)制解調(diào)

調(diào)制解調(diào) 一、實(shí)驗(yàn)?zāi)康?.了解幅度調(diào)制解調(diào)的原理;2.觀察調(diào)制波形;3.掌握用集成模擬乘法器構(gòu)成調(diào)幅和檢波電路的
2008-09-24 11:17:496255

基于FPGA的MSK調(diào)制解調(diào)器設(shè)計(jì)與應(yīng)用

基于FPGA的MSK調(diào)制解調(diào)器設(shè)計(jì)與應(yīng)用 Designing and Application of MSK Modulator and Demodulator basade on FPGA(常州工學(xué)院電子信息與電氣工程學(xué)
2009-10-19 09:49:192900

二相BPSK (DPSK)調(diào)制解調(diào)技術(shù)

二相BPSK (DPSK)調(diào)制解調(diào)技術(shù)有二相BPSK調(diào)制解調(diào)實(shí)驗(yàn),二相DPSK調(diào)制解調(diào)實(shí)驗(yàn),PSK解調(diào)載波提取實(shí)驗(yàn)
2011-03-15 22:41:4315958

認(rèn)知無線電中自適應(yīng)調(diào)制解調(diào)器的FPGA實(shí)現(xiàn)

在無線電中,分析調(diào)制解調(diào)器的轉(zhuǎn)換作用和,認(rèn)知無線電中自適應(yīng)調(diào)制解調(diào)器的FPGA實(shí)現(xiàn)。
2011-10-13 16:44:4345

FPGA實(shí)現(xiàn)2FSK數(shù)字信號(hào)調(diào)制解調(diào)

基于FPGA調(diào)制解調(diào)的數(shù)字信號(hào)有多種,包括2ASK、2FSK、2PSK等,文中介紹了2FSK信號(hào)的調(diào)制解調(diào),以及該信號(hào)的功率譜。最后提供驗(yàn)證結(jié)果,證明仿真結(jié)果符合要求。
2012-03-23 11:17:28398

基于FPGA的BPSK調(diào)制解調(diào)器設(shè)計(jì)

本文設(shè)計(jì)實(shí)現(xiàn)了一種新型的BPSK信號(hào)調(diào)制解調(diào)器,利用m序列的隨機(jī)性來產(chǎn)生輸入基帶信號(hào),詳細(xì)介紹了基于FPGA的BPSK信號(hào)調(diào)制解調(diào)器的設(shè)計(jì)方法,提供了VHDL源代碼在Quartus II環(huán)境下的仿真
2012-03-31 15:06:5667

基于VHDL的QPSK調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)與仿真

文中詳細(xì)介紹了QPSK技術(shù)的工作原理和QPSK調(diào)制、解調(diào)的系統(tǒng)設(shè)計(jì)方案,并通過VHDL語言編寫調(diào)制解調(diào)程序和QuartusII軟件建模對(duì)程序進(jìn)行仿真,通過引腳鎖定,下載程序到FPGA芯片EP1K30TC144
2013-06-08 17:47:1991

ASK調(diào)制解調(diào)VHDL程序及仿真

FPGA學(xué)習(xí)資料,有興趣的同學(xué)可以下載看看。
2016-04-08 15:49:1342

DSP之 FSK調(diào)制解調(diào)VHDL程序及仿真教程

DSP之 FSK調(diào)制解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:37:3512

DSP之PSK調(diào)制解調(diào)VHDL程序及仿真教程

DSP之 PSK調(diào)制解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:42:4115

DSP之MPSK調(diào)制解調(diào)VHDL程序與仿真

DSP之MPSK調(diào)制解調(diào)VHDL程序與仿真,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:42:414

2ASK調(diào)制及相干解調(diào)電路設(shè)計(jì)

2ASK調(diào)制及相干解調(diào)電路設(shè)計(jì),用matlab仿真的具體方法介紹
2016-06-17 16:00:440

DPPM調(diào)制解調(diào)技術(shù)的FPGA實(shí)現(xiàn)

電子專業(yè)單片機(jī)開發(fā)中的學(xué)習(xí)教程資料——DPPM調(diào)制解調(diào)技術(shù)的FPGA實(shí)現(xiàn)
2016-08-08 14:45:210

QPSK調(diào)制解調(diào)

QPSK的調(diào)制解調(diào)的整個(gè)過程的講解和源代碼,之后會(huì)長(zhǎng)傳在FPGA上的實(shí)現(xiàn)和調(diào)試
2016-12-12 21:36:1971

基于ofdm的調(diào)制解調(diào)原理,ofdm調(diào)制解調(diào)fpga代碼

  摘要:OFDM是一種無線環(huán)境下的高速傳輸技術(shù)。本文主要總結(jié)了基于ofdm的調(diào)制解調(diào)原理以及OFDM 的FPGA代碼實(shí)現(xiàn),下面我們一起來看看原文。
2017-12-12 14:10:0222713

基于QPSK數(shù)字調(diào)制解調(diào)FPGA實(shí)現(xiàn)

來實(shí)現(xiàn),其具有頻譜利用率高、頻譜特性好、抗干擾性能強(qiáng)、傳輸速率快等特點(diǎn)。運(yùn)用verilog編寫在QPSK調(diào)制解調(diào)代碼以及ISE自帶的IP CORE在Xilinx公司的FPGA平臺(tái)上測(cè)試,結(jié)果表明系統(tǒng)可完全實(shí)現(xiàn)調(diào)制解調(diào)功能,并具有集成度高和可軟件升級(jí)等優(yōu)點(diǎn)。
2018-02-20 07:50:0019324

調(diào)制解調(diào)芯片有哪些_調(diào)制解調(diào)芯片型號(hào)匯總

本文開始介紹了調(diào)制解調(diào)的概念和調(diào)制解調(diào)的目的,其次介紹了調(diào)制解調(diào)的分類與調(diào)制解調(diào)器的作用,最后對(duì)調(diào)制解調(diào)芯片的型號(hào)進(jìn)行了匯總。
2018-04-16 09:29:3821459

如何使用Simulink實(shí)現(xiàn)2ASK調(diào)制系統(tǒng)的建模與仿真

2ASK信號(hào)的調(diào)制解調(diào)在數(shù)字調(diào)制技術(shù)中占有重要地位。文中使用Simulink實(shí)現(xiàn)了高斯白噪聲條件下2ASK調(diào)制系統(tǒng)的建模與仿真。該系統(tǒng)可以靈活的同時(shí)實(shí)現(xiàn)兩種解調(diào)方式,并得到波形、誤碼率等關(guān)鍵數(shù)據(jù)。仿真結(jié)果表明,噪聲方差的減小可以提高系統(tǒng)信噪比,相干解調(diào)方式的抗噪性能優(yōu)于非相干解調(diào)方式。
2020-01-02 08:00:0032

FSK的調(diào)制解調(diào)VHDL程序和仿真說明

本文檔的主要內(nèi)容詳細(xì)介紹的是FSK的調(diào)制解調(diào)VHDL程序和仿真說明。
2020-12-18 16:44:0023

ASK調(diào)制VHDL程序及仿真資料免費(fèi)下載

  基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行ASK振幅調(diào)制
2021-01-19 14:00:184

基于VHDL的MSK調(diào)制解調(diào)器的建模與設(shè)計(jì)

電子發(fā)燒友網(wǎng)站提供《基于VHDL的MSK調(diào)制解調(diào)器的建模與設(shè)計(jì).pdf》資料免費(fèi)下載
2023-10-18 10:46:440

基于FPGA的DDS設(shè)計(jì),并通過DDS實(shí)現(xiàn)ASK,FSK,PSK三種調(diào)制(一)

FPGA數(shù)字通信調(diào)制解調(diào)技術(shù)
2023-11-07 11:40:58317

已全部加載完成