電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>Zynq-7000為何不是FPGA?

Zynq-7000為何不是FPGA?

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

賽靈思Zynq-7000 All Programmable SoC獲年度最具潛力新技術(shù)獎提名

賽靈思公司宣布,其業(yè)界首款Zynq-7000 All Programmable SoC獲得2012年電子成就獎(ACE Awards)之年度最具潛力新技術(shù)獎提名。此次提名是對Zynq-7000 All Programmable SoC團(tuán)隊成功推出這款芯片的充分肯定。
2013-01-24 09:01:451047

ZYNQ-7000的USB轉(zhuǎn)JTAG驅(qū)動無法使用

ZYNQ-7000的USB轉(zhuǎn)JTAG驅(qū)動無法使用 使用操作系統(tǒng)是win7 64位 有人遇到過這個問題嗎?
2013-07-10 22:06:48

Zynq-7000 AP SoC CLG400 XC7Z010的有效機(jī)械性能是什么?

我正在尋找Zynq-7000 AP SoC CLG400 XC7Z010的有效模量,CTE和Tg。使用您的包裝進(jìn)行SIP的熱機(jī)械建模需要此數(shù)據(jù)。我還想知道最大允許結(jié)溫是多少。
2020-07-30 08:16:38

Zynq-7000 AP SoC是否具有真正的隨機(jī)數(shù)發(fā)生器?

真隨機(jī)數(shù)發(fā)生器在安全解決方案中起著重要作用。真正的隨機(jī)數(shù)發(fā)生器通常由平臺支持,例如Exynos 5,OMAP 3,4 SoC系列和飛思卡爾i.MX53。我已經(jīng)閱讀了zynq-7000的TRM,但沒有找到隨機(jī)數(shù)生成器。 zynq真的不支持RNG嗎?
2020-07-17 14:27:09

Zynq-7000 SoC提供 FPGA 資源

ArduZynq 和 TE0726-03M ZynqBerry SBC 中的 Zynq Z-7010 SoC 的 FPGA 容量存在顯著差異。雖然所有 Zynq-7000 SoC 都采用雙核 Arm
2018-08-31 14:43:05

Zynq-7000是什么?Zynq-7000能干什么?

Zynq-7000是什么?Zynq-7000能干什么?有何作用?
2021-06-30 06:22:55

Zynq-7000板如何與XADC接頭建立輸入連接?

你好, 我試圖通過使用XADC讀取模擬信號。我有Zynq-7000板。我找不到引腳分配文件。要將模擬信號輸入到從vaux0到vaux15的16個通道,我應(yīng)該如何與XADC接頭建立輸入連接? 非常感謝。 :)
2020-05-07 08:15:58

Zynq-7000設(shè)置數(shù)字是什么意思?

我正在使用Zynq-7000,選擇欲望頻率,我知道我應(yīng)該使用-g ConfigRate,但這些設(shè)置數(shù)字是什么意思?例如,默認(rèn)數(shù)字是3,這意味著頻率是300KHz?謝謝
2020-08-05 13:14:33

Zynq-7000里面ARM和FPGA之間數(shù)據(jù)傳輸是怎么實現(xiàn)的

有關(guān)Zynq-7000里面ARM和FPGA數(shù)據(jù)傳輸是怎么實現(xiàn)的?求大神解答
2022-07-25 14:42:00

Zynq Book來襲?。。。。。?/a>

AES-OZ745 OZ745 Zynq-7000 開發(fā)板與套件

站:orihard.taobao.com/?聯(lián)系電話:010-84988569-801***歡迎關(guān)注微信公眾號 啊智能時代標(biāo)簽: 開發(fā)板, AES-OZ745, OZ745, Zynq-7000, 開發(fā)套件
2016-04-18 11:35:17

Xilinx Zynq-7000SOC的相關(guān)資料推薦

CPUCPU為Xilinx Zynq-7000SOC,兼容XC7Z035/XC7Z045/XC7Z100,平臺升級能力強(qiáng),以下為Xilinx Zynq-7000特性參數(shù):TLZ7xH-EasyEVM
2022-01-03 07:50:21

Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計與實現(xiàn)的相關(guān)資料分享

今天給大俠帶來簡談Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計與實現(xiàn),話不多說,上貨。Xilinx的ZYNQ系列FPGA是二種看上去對立面的思想的融合,ARM處理器的串行執(zhí)行+FPGA的并行執(zhí)行
2021-11-09 06:43:27

Xilinx Zynq-7000特性參數(shù)

CPUCPU為Xilinx Zynq-7000SOC,兼容XC7Z035/XC7Z045/XC7Z100,平臺升級能力強(qiáng),以下為Xilinx Zynq-7000特性參數(shù):電源接口和開關(guān)采用12V3A
2021-12-30 07:55:37

Xilinx-ZYNQ7000學(xué)習(xí)筆記

Xilinx-ZYNQ7000系列-學(xué)習(xí)筆記(3):系統(tǒng)復(fù)位與啟動一、復(fù)位ZYNQ-7000 SoC系統(tǒng)中的復(fù)位可以由硬件、看門狗定時器、JTAG控制器或軟件產(chǎn)生,可用于驅(qū)動系統(tǒng)中每個模塊的復(fù)位信號
2022-01-25 07:05:36

分享黑金ZYNQ7000系列視頻教程

RTC IP實驗【黑金ZYNQ7000系列原創(chuàng)視頻教程】06.ZYNQ來自FPGA的中斷——按鍵中斷實驗【黑金ZYNQ7000系列原創(chuàng)視頻教程】05.FPGA和ARM的初次結(jié)合——LED實驗【黑金
2016-11-14 21:04:04

哪里可以找到正確的Zynq-7000 SoC的詳細(xì)寄存器描述?

我正在尋找Zynq-7000 SoC的詳細(xì)寄存器描述。我已經(jīng)找到了ug858 TRM和ds187 / ds191,但是,舉一個例子,我仍然無法找到相對重要的slcr.LVL_SHFTR_EN寄存器
2019-11-04 09:19:51

基于FPGA的Spartan-7和Zynq-7000可擴(kuò)展集成電源設(shè)計

描述該參考設(shè)計是一種可擴(kuò)展的電源設(shè)計,旨在為基于 FPGA 的 Artix-7、Spartan-7 和 Zynq-7000 系列器件供電。此設(shè)計接收來自標(biāo)準(zhǔn)直流電源的電力,并通過明確的 Samtec
2019-01-03 13:47:48

基于Zynq-7000創(chuàng)龍高速數(shù)據(jù)采集處理器

的收發(fā)器,可為多攝像頭駕駛員輔助系統(tǒng)和 4K2K 超高清電視等大量嵌入式應(yīng)用實現(xiàn)高度差異化的設(shè)計。Zynq-7000 SoC 系列集成 ARM 處理器的軟件可編程性與 FPGA 的硬件可編程性,不僅
2018-06-07 15:36:43

如何為Zynq-7000 soc尋找USB主機(jī)驅(qū)動程序?

親愛的先生,我們正在為Zynq-7000 soc尋找USB主機(jī)驅(qū)動程序。請為此提供支持感謝致敬以上來自于谷歌翻譯以下為原文Dear Sir,we are loooking for USB host
2019-04-03 10:28:34

如何利用ZYNQ-7000板子實現(xiàn)電子琴的設(shè)計

本次要實現(xiàn)的是利用ZYNQ-7000板子上自帶的16個矩陣鍵盤來實現(xiàn)每按一次發(fā)出一個音符的聲音,從而實現(xiàn)電子琴的效果。一、原理部分:矩陣鍵盤:用8位來存儲4*4的矩陣鍵盤的信息,初始化的值為
2021-12-24 07:48:44

如何在Zedboard zynq-7000上訪問AES加密和SHA哈希?

我們正在使用Zedboard和zynq-7000 SoC。該系統(tǒng)中的PL具有“基于硅的AES / HMAC”引擎,如下所述:http://www.xilinx.com/support
2019-10-08 07:17:17

如何實現(xiàn)Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計?

如何實現(xiàn)Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計?
2021-12-23 08:53:58

簡談Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計與實現(xiàn)

今天給大俠帶來簡談Xilinx Zynq-7000嵌入式系統(tǒng)設(shè)計與實現(xiàn),話不多說,上貨。 Xilinx的ZYNQ系列FPGA是二種看上去對立面的思想的融合,ARM處理器的串行執(zhí)行+FPGA
2024-04-10 16:00:14

請問有人用Zynq-7000進(jìn)行過FPGA邏輯設(shè)計嗎?

最近在學(xué)習(xí)使用時碰到一些麻煩,還望幫助啊。就是想知道如何在Zynq-7000中進(jìn)行FPGA邏輯設(shè)計,產(chǎn)生LTE-A信號,從而輸入到AD9361,搭建成一個mimo軟件無線電平臺。。
2015-04-03 11:03:46

賽靈思Zynq-7000可擴(kuò)展處理平臺讓編程流程更簡單

的器件,但Zynq-7000 EPP的獨特之處在于它由ARM處理器系統(tǒng)而非可編程邏輯元件來進(jìn)行控制。也就是說,處理系統(tǒng)能夠在開機(jī)時引導(dǎo)(在FPGA邏輯之前)并運行各個獨立于可編程邏輯之外的操作系統(tǒng)。這樣設(shè)計人員就可對處理系統(tǒng)進(jìn)行編程,根據(jù)需要來配置可編程邏輯。
2019-05-16 10:44:42

Zynq-7000 可擴(kuò)展處理平臺研究

Zynq-7000系列中的 4 款產(chǎn)品具有完全相同的 ARM 處理系統(tǒng),但是可編程邏輯資源的可擴(kuò)展性有所不同, 因而適用于不同的應(yīng)用。
2011-03-09 09:29:231648

賽靈思Zynq-7000 可擴(kuò)展處理平臺(EPP)

賽靈思Zynq-7000 可擴(kuò)展處理平臺(EPP)將雙 ARM Cortex-A9 MPCore 處理器系統(tǒng)與可編程邏輯和硬 IP 外設(shè)緊密集成在一起,提供了靈活性、可配置性和性能的完美組合。
2011-08-09 10:07:523302

Xilinx首款可擴(kuò)展式處理平臺:Zynq-7000

Zynq-7000系列是Xilinx推出的首款可擴(kuò)展式處理平臺(EPP)。該新型產(chǎn)品將業(yè)界標(biāo)準(zhǔn)ARM雙核Cortex-A9 MPCore處理系統(tǒng)與Xilinx一體化28nm可編程邏輯架構(gòu)完美整合在一起。
2012-01-26 19:02:251688

xilinx Zynq-7000 EPP產(chǎn)品簡介

The Xilinx Zynq-7000 Extensible Processing Platform (EPP) redefines the possibilities for embedded
2012-01-26 19:11:01101

Xilinx擴(kuò)大Zynq-7000 All Programmable SoC在可信系統(tǒng)的應(yīng)用

近日,賽靈思公司(Xilinx)在2012 年ARM技術(shù)大會(ARM TechCon 2012)上宣布推出系列解決方案,進(jìn)一步擴(kuò)大Zynq-7000 All Programmable SoC在可信系統(tǒng)中的應(yīng)用,確保其滿足嚴(yán)格的安全標(biāo)準(zhǔn)要求。開發(fā)
2012-11-05 13:34:42751

實例詳解:如何利用Zynq-7000的PL和PS進(jìn)行交互?

本文通過實例詳細(xì)解析如何利用Zynq-7000的PL和PS進(jìn)行交互。實際上,Zynq就是兩大功能塊:雙核Arm的SoC和FPGA。根據(jù)Xilinx提供的手冊,PS: 處理系統(tǒng) (Processing System) , 就是與FPGA無關(guān)的A
2012-12-12 13:40:2253383

Xilinx汽車Zynq-7000產(chǎn)品簡介(英文手冊)

XA Zynq-7000 All Programmable SoC 非常適合高級駕駛員輔助系統(tǒng) (ADAS) 的高計算要求。軟/硬件可編程功能相結(jié)合,意味著 ADAS 圖像流程中的功能(從感應(yīng)到環(huán)境特性描述到功能實現(xiàn))都能在
2013-03-21 10:57:36102

Xilinx Zynq-7000助 Mobilicom 實現(xiàn)先進(jìn)的點對點軟件無線電

賽靈思Zynq-7000系列中的Zynq?-7030 All Programmable SoC,打造了最新MCU-30軟件無線電 (SDR) 產(chǎn)品。
2013-07-22 11:50:271330

以Xilinx Zynq-7000為例說明設(shè)備樹的運用

由于內(nèi)核版本的演變,設(shè)備樹成了任何使用較高版本linux系統(tǒng)的設(shè)備平臺所必須文件,然國內(nèi)相關(guān)技術(shù)文檔嚴(yán)重不足,本文是國外技術(shù)專欄的翻譯,原文鏈接: 本教程是針對Xilinx Zynq-7000設(shè)備
2017-11-17 11:14:257843

Zynq-7000系列特征概述

相比較經(jīng)典的FPGA,Zynq-7000系列最大的特點是將處理系統(tǒng)PS和可編程資源PL分離開來,固化了PS系統(tǒng)的存在,實現(xiàn)了真正意義上的SOC(System On Chip)。 1.
2017-11-18 05:11:0118940

Xilinx基于ARM的Zynq-7000Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoC 和 Zynq UltraScale+ RFSoC 器件中是否存在任何漏洞。
2018-06-28 15:53:002518

Zynq-7000 SoC設(shè)計指南

Zynq-7000應(yīng)用處理單元存在于PS內(nèi),包含帶有NEON協(xié)處理器的兩個Cortex-A9處理器。在多處理器配置中,將兩個處理器連接起來共享一個512KB L2高速緩存。 每個處理器是一個高性能、低功耗的核,各自有兩個獨立的32KB L1數(shù)據(jù)高速緩存和指令高速緩存。
2018-03-19 16:40:2745

xilinx公司SoC器件Zynq-7000開發(fā)方案介紹

xilinx公司的Zynq-7000是全編程SoC器件,是系統(tǒng)級集成電路,并具有硬件,軟件和I/O可編程性,可設(shè)計更智能化的系統(tǒng),大大地降低BOM成本,NRE成本,設(shè)計風(fēng)險,同時加快產(chǎn)品面市.主要
2018-05-05 14:32:002978

Zynq-7000的應(yīng)用領(lǐng)域:汽車/通信系統(tǒng)/機(jī)器人/控制和儀器/圖像/視頻處理

FPGA架構(gòu)使得Xilinx Zynq-7000更加強(qiáng)悍,應(yīng)用領(lǐng)域更加廣泛。下面將從以下方面介紹Zynq-7000的應(yīng)用領(lǐng)域:汽車、通信系統(tǒng)、機(jī)器人、控制和儀器 、圖像和視頻處理 、醫(yī)藥、工業(yè)控制和許多其他領(lǐng)域。
2018-05-18 07:07:002614

為何要選擇Zynq-7000 All Programmable SoC

Zynq-7000 AP SoC作為業(yè)界第一款SoC產(chǎn)品,完美集成了雙核ARM Cortex-A9處理器與賽靈思28 nm FPGA。本視頻向您展示了Zynq-7000的強(qiáng)大性能,以及豐富的外設(shè)支持及開發(fā)工具支持情況,讓您能更快地尋找到Zynq-7000的相關(guān)信息和支持資源。
2018-06-05 01:45:004215

賽靈思隆重推出Zynq-7000

賽靈思隆重推出Zynq-7000
2018-06-06 03:45:003931

基于Zynq-7000平臺運行SoftPLC的解決方案

基于Zynq-7000平臺運行SoftPLC的解決方案,集成了KW-SoftPLC,PowerLink實時以太網(wǎng)協(xié)議,Linux操作系統(tǒng),用以快速、精準(zhǔn)的實現(xiàn)工業(yè)控制應(yīng)用
2018-06-05 09:46:005272

Xilinx Zynq-7000 EPP Showcased at Embedded World

Xilinx Zynq-7000 EPP Showcased at Embedded World
2018-06-04 13:46:002924

Zynq-7000 AP SoC 在多種應(yīng)用領(lǐng)域中的演示

Xilinx公司介紹:Zynq-7000 AP SoC 在多種應(yīng)用領(lǐng)域中的演示。
2018-06-04 13:47:004510

Zynq-7000 AP SoC為您提供業(yè)經(jīng)驗證的高效生產(chǎn)力

除了要最終客戶推出屢獲殊榮的Zynq-7000 AP SoC器件幫助他們在競爭中整整領(lǐng)先一代之外,我們今天還推出了豐富的穩(wěn)健可靠的基礎(chǔ)架構(gòu),使Zynq-7000 SoC用戶能夠生產(chǎn)力更高
2018-06-04 13:47:003255

XILINX Zynq-7000, Industrial & Medical Imaging Demos - EW 20

XILINX Zynq-7000, Industrial & Medical Imaging Demos - EW 20
2018-05-25 15:49:002909

Zynq-7000 Extensible Processing Platform in Action

Zynq-7000 Extensible Processing Platform in Action
2018-05-24 16:47:003054

Zynq、FPGA等相關(guān)芯片可以運用到那些領(lǐng)域

FPGA架構(gòu)使得Xilinx Zynq-7000更加強(qiáng)悍,應(yīng)用領(lǐng)域更加廣泛。下面將從以下方面介紹Zynq-7000的應(yīng)用領(lǐng)域:汽車、通信系統(tǒng)、機(jī)器人、控制和儀器 、圖像和視頻處理 、醫(yī)藥、工業(yè)控制和許多其他領(lǐng)域。
2018-07-04 14:12:008287

Zynq-7000 SoC生產(chǎn)勘誤項目及應(yīng)對措施

Zynq-7000 生產(chǎn)勘誤項目是(Xilinx 答復(fù) 47916)中所列項目的子集,通常包括由 Xilinx 和 Linux 社區(qū)實現(xiàn)的軟件解決方法應(yīng)對措施。
2018-07-05 08:38:00953

ZYNQ-7000型產(chǎn)品選擇指南詳細(xì)資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是ZYNQ-7000型產(chǎn)品選擇指南詳細(xì)資料免費下載。
2018-08-09 08:00:0033

關(guān)于Zynq-7000 PL端HDMI的顯示控制的性能分析和應(yīng)用介紹

Zynq-7000 PL端HDMI的顯示控制 Zynq-7000 PS到PL端emio的使用 Vivado 專家文章:Tcl 是什么? Zynq-7000 ARM端MIO的使用 Zynq-7000
2019-09-15 14:57:003353

Zynq-7000可擴(kuò)展處理平臺的特點及應(yīng)用介紹

Xilinx處理平臺副總裁Vidya Rajagopalan和ARM物理IP部門技術(shù)副總裁Dipesh Patel介紹了Xilinx的Zynq-7000可擴(kuò)展處理平臺。
2018-11-20 07:07:003355

Zynq-7000 AP SoC ZC702評估套件的特點與應(yīng)用

Zynq-7000 All Programmable SoC評估套件ZC702簡介使設(shè)計人員能夠快速評估Zynq-7000技術(shù),同時通過其可擴(kuò)展性開發(fā)大多數(shù)應(yīng)用。
2018-11-20 06:17:003698

Zynq-7000可擴(kuò)展處理平臺的演示

觀看世界上第一個可擴(kuò)展處理平臺--Zynq-7000 EPP的演示。
2019-01-02 09:31:002090

Zynq-7000全可編程SoC的性能和功能

Zynq-7000全可編程SoC提供無與倫比的性能和功能
2019-01-21 07:32:003258

Zynq-7000 AP SoC提供業(yè)經(jīng)驗證的IP及參考設(shè)計

Xilinx為Zynq-7000 SoC提供了一個穩(wěn)健而廣泛的支持基礎(chǔ),讓用戶基于Zynq的開發(fā)設(shè)計更加高效,同時也幫助客戶更快地把設(shè)計推向市場.Zynq-7000 SoC的用戶對Vivado
2018-11-30 06:08:002354

適用于Zynq-7000 AP SoC的Windows Embedded Compact 7概述

了解適用于Zynq-7000 All Programmable SoC的Windows Embedded Compact 7板級支持包(BSP)。
2018-11-30 06:06:003260

Xilinx Zynq-7000最新ADAS解決方案的展示

卓越的賽靈思聯(lián)盟成員Xylon展示了他們利用Xilinx Zynq-7000的最新ADAS解決方案。 他們最新的開發(fā)套件logiADAK 3.0汽車駕駛員輔助工具包可實現(xiàn)2D / 3D環(huán)繞視圖
2018-11-26 07:10:003811

使用Zynq-7000 AP SoC進(jìn)行工業(yè)物聯(lián)網(wǎng)系統(tǒng)的演示

安富利展示了一個集成的工業(yè)物聯(lián)網(wǎng)(IoT)系統(tǒng),集成了Xilinx Zynq-7000 All Programmable SoC上的機(jī)器視覺,電機(jī)控制和近場通信(NFC)。
2018-11-26 07:00:002883

使用Zynq-7000 All Programmable SoC實現(xiàn)DSP功能的軟件加速

該演示展示了Zynq-7000 All Programmable SoC及其使用NEON引擎或硬件加速來加速軟件的能力。 查看Zynq-7000 SoC的靈活性,以加速軟件和利用......
2018-11-26 06:56:004855

Zynq-7000可擴(kuò)展處理平臺的展示介紹

Xilinx及其聯(lián)盟成員在Embedded World 2012上展示了Zynq-7000可擴(kuò)展處理平臺。
2018-11-26 06:42:002362

Zynq-7000 All Programmable SoC的開發(fā)工具概述

了解可用于Zynq-7000 All Programmable SoC的各種開發(fā)工具。 從愿景到部署Xilinx開發(fā)工具解決端到端開發(fā)問題,包括:系統(tǒng)設(shè)計,軟件和固件開發(fā)......
2018-11-26 06:38:003073

Zynq-7000 All Programmable SoC器件的I/O標(biāo)準(zhǔn)

了解設(shè)計人員在使用Zynq-7000 All Programmable SoC器件時可用的不同I / O,從標(biāo)準(zhǔn)I / O到串行收發(fā)器以及模擬輸入。
2018-11-26 06:36:002572

針對成像和視頻應(yīng)用開發(fā)的Zynq-7000 All Programmable SoC

Zynq-7000 All Programmable SoC套件簡介,針對成像和視頻應(yīng)用開發(fā),提供相機(jī)和視頻插件。
2018-11-23 06:46:002431

如何使用BootGen為Zynq-7000 AP SoC構(gòu)建完整的映像

了解如何使用BootGen為Zynq-7000 All Programmable SoC構(gòu)建完整的映像。 引導(dǎo)映像通常包括第一級引導(dǎo)加載程序,至少一個軟件應(yīng)用程序和PL的比特流。
2018-11-23 06:58:005282

如何為Zynq-7000 All Programmable SoC制作可引導(dǎo)映像

了解如何構(gòu)建FSBL,U-boot,Linux并為Zynq-7000 All Programmable SoC制作可引導(dǎo)映像。
2018-11-23 06:55:002504

使用Zynq-7000 All Programmable SoC進(jìn)行視頻監(jiān)控攝像機(jī)演示

使用Zynq-7000 All Programmable SoC的ISD netSeries視頻監(jiān)控攝像機(jī)演示
2018-11-23 06:48:003025

Zynq-7000 All Programmable SoC的安全系統(tǒng)

該視頻演示展示了Zynq-7000 All Programmable SoC上具有PROFINET IRT的高可靠性系統(tǒng),適用于高性能自動化應(yīng)用。
2018-11-23 06:34:002568

Zynq-7000 All Programmable SoC電源管理技術(shù)的了解

通過Zynq-7000 AP SoC了解電源管理技術(shù),并了解Zynq Power Demonstration的這些技術(shù)。
2018-11-22 06:54:003533

如何使用Zynq-7000 VI進(jìn)行IP仿真驗證和調(diào)試

本視頻將向您講解如何使用Zynq-7000 VIP(驗證IP)來高效地驗證基于Zynq-7000處理系統(tǒng)的設(shè)計。另外,視頻還介紹了如何配置,以及如何使用范例項目進(jìn)行仿真的實施步驟。
2018-11-22 06:48:004041

ZYNQ-7000如何生成從Flash和SD卡啟動的鏡像文件

ZYNQ-7000如何生成從Flash和SD卡啟動的鏡像文件 將PL與PS部分一起使用,并且通過JTAG下載到板子運行。對于ZYNQ,有多種啟動方式
2018-12-01 08:38:187330

Zynq-7000所有可編程SOC模塊的詳細(xì)資料介紹

本文檔的主要內(nèi)容詳細(xì)介紹的是Zynq-7000所有可編程SOC模塊的詳細(xì)資料介紹。
2019-02-13 17:16:400

Zynq-7000全可編程SOC系列產(chǎn)品選擇指南免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是Zynq-7000全可編程SOC系列產(chǎn)品選擇指南免費下載。
2019-02-15 11:52:099

Zynq-7000 SOC的產(chǎn)品簡介資料免費下載

?Cortex?-A9處理器與業(yè)界領(lǐng)先的每瓦28nm可編程邏輯性能相集成,實現(xiàn)了超過離散處理器和FPGA系統(tǒng)的功率和性能水平。Zynq-7000系列提供了Dualcore(Zynq-7000設(shè)備
2019-02-15 11:52:1420

Zynq-7000 SoC和7系列FPGA設(shè)備內(nèi)存接口解決方案資料說明

Xilinx Zynq-7000 SOC和7系列FPGA內(nèi)存接口解決方案核心提供了到DDR3和DDR2 SDRAM、QDR II+SRAM、RLDRAM II/RLDRAM 3和LPDDR2 SDRAM的高性能連接。
2019-02-25 17:24:5517

基于ZYNQ FPGA與PC的IP設(shè)計與驗證方案(3)

Zynq-7000系列的可編程邏輯完全基于賽靈思最新7系列FPGA架構(gòu)來設(shè)計,可確保28nm系列器件的IP核、工具和性能100%兼容。
2019-12-20 07:02:001504

digilent Cora Z7-10: Zynq-7000 概述

 Digilent Cora Z7是一款隨時可用,低成本且易于嵌入的開發(fā)平臺,圍繞Xilinx功能強(qiáng)大的Zynq-7000全可編程片上系統(tǒng)(APSoC)而設(shè)計。 Zynq-7000架構(gòu)將單核
2019-11-14 15:53:232197

digilent Cora Z7-07S: Zynq-7000 概述

Digilent Cora Z7是一款隨時可用,低成本且易于嵌入的開發(fā)平臺,圍繞Xilinx功能強(qiáng)大的Zynq-7000全可編程片上系統(tǒng)(APSoC)而設(shè)計。 Zynq-7000架構(gòu)將單核
2019-11-14 15:50:531841

digilent Zynq-7000 ARM/FPGA SoC開發(fā)板介紹

全新升級上市的Zybo-Z7是一款功能強(qiáng)大豐富,開箱即用型的Xilinx Zynq-7000 APSoC 軟硬協(xié)同嵌入式開發(fā)板。此次重磅上市的新版Zybo Z7,是對2012年發(fā)布的全球廣受歡迎的口袋式Zynq評估板Zybo的一次新一代全面升級!
2019-11-20 15:34:201826

digilent Zynq-7000訓(xùn)練板概述

Zybo(Zynq? Board)是一款資源豐富且易用的嵌入式軟件及數(shù)字電路入門級開發(fā)平臺,該平臺主芯片為Xilinx Zynq-7000系列中的最小型號Z-7010。
2019-11-25 11:42:271403

Zynq-7000 ZING SOM開發(fā)模塊的特點和應(yīng)用

Zynq-7000 ZING SOM開發(fā)模塊演示,ZingSoM模塊是針對OEM類型和小批量試生產(chǎn)型客戶量身定制的一款Zynq最小系統(tǒng)板,集成了Zynq All Programmable SoC系統(tǒng)所需的常用組件,包括DDR3和Flash,以及千兆以太網(wǎng)卡和USB接口。
2020-07-02 10:20:002551

zynq-7000 SoC產(chǎn)品選型指南

zynq-7000 SoC產(chǎn)品選型指南
2020-12-09 16:15:0112

ZYNQ-7000系列MIO、EMIO、AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2022-07-25 17:41:582141

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2021-01-31 06:50:0412

Zynq-7000 SoC數(shù)據(jù)手冊下載

Zynq-7000 SoC數(shù)據(jù)手冊下載
2021-05-21 15:22:4128

Zynq-7000 SoC 啟動鏡像布局

鏡像時的輸入 Zynq-7000 SoC 啟動鏡像布局 Zynq-7000 SoC 上的 bootROM 作為應(yīng)用處理器單元 (APU) 中運行的首個軟件,可在安全環(huán)境內(nèi)以加密 FSBL 來執(zhí)行。受支持
2021-08-27 14:11:302857

FPGAs,ZynqZynq MPSoC器件的特點

Zynq MPSoC是Zynq-7000 SoC(之后簡稱Zynq)的進(jìn)化版本。Zynq是賽靈思發(fā)布的集成PL(FPGA)和PS設(shè)計的最早的一代產(chǎn)品。如圖2.1所示,在相對較高層次對比了三種器件。Zynq MPSoC的PS部分比Zynq的PS部分面積更大,也更復(fù)雜。本章,將介紹這三種器件的特點.
2022-08-15 09:16:381691

Zynq-7000系列嵌入式處理器,PS和PL端的協(xié)同設(shè)計

Zynq-7000系列芯片的邏輯資源(PL)是不同的,Z-7020以下是基于A7 FPGA的,Z-7030以上是基于K7的,資源數(shù)量有所不同。而我們使用的Zedboard是Z-7020的。
2022-12-22 09:44:091566

Zynq-7000 SoC的安全啟動應(yīng)用說明

電子發(fā)燒友網(wǎng)站提供《Zynq-7000 SoC的安全啟動應(yīng)用說明.pdf》資料免費下載
2023-09-13 11:46:041

Zynq-7000 SoC:嵌入式設(shè)計教程

電子發(fā)燒友網(wǎng)站提供《Zynq-7000 SoC:嵌入式設(shè)計教程.pdf》資料免費下載
2023-09-13 09:20:033

已全部加載完成