電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>HDL語言及源代碼>VHDL的基本描述語句設(shè)計

VHDL的基本描述語句設(shè)計

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

VHDL語句特性

,較難入門,代碼也比較冗長,且無法直接用于集成電路底層建模,但具有很好的行為級描述能力和較好的系統(tǒng)級描述能力,較適用于大型項目。本文只介紹VHDL,重點講述VHDL語句執(zhí)行特性VHDL英文全稱
2014-01-04 11:35:34

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢?

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢?VHDL語言為核心的EDA技術(shù)在醫(yī)學(xué)中的應(yīng)用
2021-05-07 06:38:41

VHDL并行語句

VHDL并行語句.ppt
2017-01-21 19:56:00

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計.侯伯亭&顧新

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計.侯伯亭&顧新
2020-05-11 09:22:18

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計.侯伯亭&顧新.掃描版

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計.侯伯亭&顧新.掃描版
2020-05-03 09:46:42

VHDL程序設(shè)計教程 (pdf 經(jīng)典電子書)

VHDL程序設(shè)計教程是EDA工程系列叢書之二。, VHDL硬件描述語言是數(shù)字電路設(shè)計者、大規(guī)模專用集成電路(ASIC)設(shè)計者與電子設(shè)計自動化(EnA)工具之間的接口語言,是現(xiàn)代電子設(shè)計的基礎(chǔ)語言
2009-10-09 17:47:27

VHDL語言的常用語法

VHDL語言的常用語法[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本描述語句。并可以利用這些語句進(jìn)行簡單電路的設(shè)計。[重點與難點]重點:常用的并行語句與順序語句的語法。難點:部件(Component
2009-03-19 16:45:14

vhdl 過程語句

大家好,有人使用 vhdl 編程嗎,vhdl語言中過程 procedure 語句 可以 沒有參數(shù)嗎,過程語句的 書寫格式 是:procedure 過程名 (參數(shù)表)這個參數(shù) 可以 不寫嗎,謝謝
2013-08-19 15:49:49

vhdl是什么

超高速集成電路硬件描述語言,主要是應(yīng)用在數(shù)字電路的設(shè)計中。它在中國的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計中。當(dāng)然在一些實力較為雄厚的單位,它也被用來設(shè)計ASIC。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)
2015-09-30 13:48:29

FPGA的VHDL有哪些優(yōu)點?怎么理解VHDL?

描述語言相比,VHDL 具有更強的行為描述能力,強大的行為描述能力是避開具體的器件結(jié)構(gòu),從邏輯行為上描述和設(shè)計大規(guī)模電子系統(tǒng)的重要保證。2.仿真模擬VHDL 豐富的仿真語句和庫函數(shù),使得在任何系統(tǒng)
2018-09-07 09:04:45

Verilog HDL硬件描述語

Verilog HDL硬件描述語
2013-01-13 14:40:20

Verilog_HDL硬件描述語

Verilog_HDL硬件描述語言 FPGA的資料
2013-02-26 14:03:42

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計].侯伯亭&顧新.掃描版

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計].侯伯亭&顧新.掃描版
2020-05-21 09:25:46

verilog+hdl硬件描述語

verilog+hdl硬件描述語言 初學(xué)者的福音 幫助廣大初學(xué)者步入此行
2013-08-12 23:47:12

verilog硬件描述語言課程講義

verilog硬件描述語言課程講義
2012-08-06 11:35:33

【FPGA學(xué)習(xí)】VHDL 順序語句描述方法 VHDL的if、case、LOOP、NEXT語句怎么寫

使用 VHDL 進(jìn)行數(shù)字電路描述時候,如果按照執(zhí)行順序?qū)?VHDL 的程序進(jìn)行分類,可以分為順序(sequential)描述語句和并行(concurrent)描述語句。順序語句描述的程序總是按照程序
2018-09-13 09:39:31

【FPGA學(xué)習(xí)】VHDL并行語句有哪些 VHDL并行語句怎么寫

VHDL 不僅僅提供了一系列的順序語句,同樣也提供了很多并行語句。在 VHDL 中,并行語句主要包括以下幾種:? 進(jìn)程(PROCESS)語句;? 塊(BLOCK)語句;? 并發(fā)信號賦值;? 條件信號
2018-09-13 10:14:51

如何使用VHDL硬件描述語言實現(xiàn)的十六路彩燈控制系統(tǒng)?

本文介紹應(yīng)用美國ALTERA公司的MAX+PLUSⅡ平臺,使用VHDL硬件描述語言實現(xiàn)的十六路彩燈控制系統(tǒng)。
2021-04-19 07:43:57

怎么利用CPLD/FPGA的VHDL語言優(yōu)化電路?

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著可編程邏輯器件
2019-08-28 08:05:46

掌握VHDL語法 ,VHDL語法學(xué)習(xí)筆記

作為 IEEE 的工業(yè)標(biāo)準(zhǔn)硬件描述語言,在電子工程領(lǐng)域已成為事實上的通用硬件描述語言。1.2 VHDL 的特點VHDL 主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句
2020-09-02 19:32:26

新手求教、verilog中行為描述與結(jié)構(gòu)描述區(qū)別?

想問一下,在verilog中,行為描述和結(jié)構(gòu)描述到底有什么區(qū)別,感覺行為描述語句更直觀、易讀、易修改,而結(jié)構(gòu)描述語句就沒那么好讀了。但是,總覺得有什么地方有區(qū)別,是否在下載到FPGA中的元件連接以及布線問題上有不一樣的地方,哪種描述在什么情況下使用更好呢?
2016-10-27 11:17:41

求一種在FPGA中使用行為描述語句實現(xiàn)3-8譯碼器的設(shè)計方案

1、在FPGA中使用行為描述語句實現(xiàn)3-8譯碼器設(shè)計思路譯碼器電路有n個輸入和2n個輸出,每個輸出都對應(yīng)著一個可能的二進(jìn)制輸入。本實驗設(shè)計實現(xiàn)一個3-8譯碼器,表3.1給出了該譯碼器的真值表。從
2022-07-01 15:26:26

求助大佬,pspice模型描述語句里面limit函數(shù)怎么用?找了好久沒找見

這是pspice16.6自帶的單刀雙擲繼電器模型,里面的描述語句中有一小段不理解,*make a voltage from the currente_cc cc 0 value = {limit(I
2020-11-10 10:52:06

求助,TD支持哪些硬件描述語言,支持混合語言嗎?

TD支持哪些硬件描述語言,支持混合語言嗎?
2023-08-11 08:21:10

淺析嵌入式FPGA與HDL硬件描述語

)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。HDL硬件描述語言(HDL)是一種用來設(shè)計數(shù)字邏輯系統(tǒng)和描述數(shù)字電路的語言,常用的主要有VHDL、Verilog HDL、System Verilog 和 System C。VHDL是一種用于電路設(shè)計的高級
2021-12-22 07:39:43

硬件描述語VHDL課件

硬件描述語VHDL課件   硬件描述語VHDL 數(shù)字系統(tǒng)設(shè)計分為硬件設(shè)計和軟件設(shè)計, 但是隨著計算機技術(shù)、超大規(guī)模集成電路(CPLD
2008-09-11 15:47:23

硬件描述語言與匯編語言有哪些區(qū)別呢

個人感覺:硬件描述語言(vhdl等):是為了制造cpu(類似的芯片),設(shè)計人員使用hdl設(shè)計和安排寄存器和時序電路如何組合,然后最終會生成門級網(wǎng)表,然后通過相關(guān)軟件等生成最終物理電氣電路(怎么布局
2022-02-28 06:10:16

自動測試系統(tǒng)測試描述語

`書號:978-7-111-34114-7作者:路輝 編著出版時間:201107自動測試系統(tǒng)作為設(shè)備可靠運行的必要保證,在航空、航天、汽車、船舶等領(lǐng)域得到了廣泛應(yīng)用。測試描述語言作為自動測試系統(tǒng)
2011-08-12 10:13:17

Verilog硬件描述語描述.

本書簡要介紹了Verilog硬件描述語言的基礎(chǔ)知識,包括語言的基本內(nèi)容和基本結(jié)構(gòu) ,以及利用該語言在各種層次上對數(shù)字系統(tǒng)的建模方法。書中列舉了大量實例,幫助讀者掌握語
2006-03-27 23:44:08101

VHDL硬件描述語言教學(xué).

VHDL硬件描述語言教學(xué):包括fpga講義,VHDL硬件描述語言基礎(chǔ),VHDL語言的層次化設(shè)計的教學(xué)幻燈片
2006-03-27 23:46:4993

vhdl語言ppt

VHDL的定義和功能VHDL的發(fā)展概況程序編程語言和硬件描述語言的對比引入硬件描述語言對系統(tǒng)進(jìn)
2008-09-03 12:58:4139

vhdl硬件描述語言(教材課件)

數(shù)字系統(tǒng)設(shè)計分為硬件設(shè)計和軟件設(shè)計, 但是隨著計算機技術(shù)、超大規(guī)模集成電路(CPLD、FPGA)的發(fā)展和硬件描述語言(HDL, Hardware Description Language)的出現(xiàn),軟、硬件設(shè)計之間的界
2008-09-11 15:15:5690

VHDL硬件描述語言 pdf

全面地介紹了VHDL硬件描述語言的基本知識和利用VHDL進(jìn)行數(shù)字電路系統(tǒng)設(shè)計的方法。全書共分13章:第1-6
2008-09-11 15:45:271333

VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型

[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本語法和源文件的結(jié)構(gòu),學(xué)會用VHDL硬件描述語言設(shè)計典型數(shù)字邏輯電路。[重點與難點]重點:VHDL語言的程序結(jié)構(gòu);VHDL語言的數(shù)據(jù)類型及數(shù)
2009-03-18 20:02:3547

VHDL語言的常用語法

[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本描述語句。并可以利用這些語句進(jìn)行簡單電路的設(shè)計。[重點與難點]重點:常用的并行語句與順序語句的語法。難點:部件(Component
2009-03-18 22:03:32100

一種基于策略元素三元組的策略描述語

分析常用策略描述語言的缺點,總結(jié)策略的主要配置方式,分析策略的組成成員,提出策略主要元素ECA三元組并給出其關(guān)系,設(shè)計一種基于ECA的策略描述語言,闡述其相關(guān)的詞法和
2009-04-09 09:22:0819

VHDL語言概述

VHDL語言概述:本章主要內(nèi)容:􀁺硬件描述語言(HDL)􀁺VHDL語言的特點􀁺VHDL語言的開發(fā)流程 1.1 1.1 硬件描述語言( 硬件描述語言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL語言描述數(shù)字系統(tǒng)

VHDL語言描述數(shù)字系統(tǒng):本章介紹用 VHDL 描述硬件電路的一些基本手段和基本方法。   VHDL 語言是美國國防部在 20 世紀(jì) 80 年代初為實現(xiàn)其高速集成電路計劃(VHSIC)而提出的
2009-09-01 09:02:4037

VHDL編程的經(jīng)驗總結(jié)

VHDL編程的一些心得體會:VHDL是由美國國防部為描述電子電路所開發(fā)的一種語言,其全稱為(Very High Speed Integrated Circuit) Hardware Description Language。與另外一門硬件描述語言Verilog HDL相比,
2009-09-27 18:10:15105

VHDL數(shù)位電子鐘

VHDL 數(shù)位電子鐘在這個數(shù)位電子鐘我們使用可支持VHDL 格式的MAX+plusII 軟件開發(fā)工具來做設(shè)計,利用VHDL 硬件描述語言的方式,將一個復(fù)雜的電路寫成一顆IC,有錯誤也不用像以前
2009-11-22 17:50:38174

面向RFID的復(fù)雜事件描述語言研究及應(yīng)用

復(fù)雜事件描述語言是復(fù)雜事件處理研究中的重要組成部分,目前面向無線射頻識別(RadioFrequency Identification, RFID)的復(fù)雜事件處理技術(shù)得到了廣泛的關(guān)注和研究,但是復(fù)雜事件描述語
2009-12-19 15:56:2011

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計:本書系統(tǒng)地介紹了一種硬件描述語言,即VHDL語言設(shè)計數(shù)字邏輯電路和數(shù)字系統(tǒng)的新方法。這是電子電路設(shè)計方法上一次革命性的變化,也是邁
2010-02-06 16:55:22359

有限狀態(tài)機的硬件描述語言設(shè)計方法

實驗?zāi)康? 1、 熟悉用硬件描述語言(VHDL)設(shè)計一般狀態(tài)機所包含的幾個基本部分;2、 掌握用硬件描述語言(VHDL)設(shè)計Moore型和Mealy型有限狀態(tài)機的方法;3、 了解狀態(tài)
2010-09-03 09:48:170

VHDL并行語句(生成語句)使用練習(xí)

實驗七、VHDL并行語句(生成語句)使用練習(xí)一? 實驗?zāi)康?掌握VHDL語言的基本描述語句的使用方法。2掌握VHDL語言的生成語句的使用方法。二? 實
2009-03-13 19:25:102479

MAX PLUS II VHDL設(shè)計入門下載

VHDL結(jié)構(gòu)體的主要描述語句 第五章 組合邏輯的MAX PLUS II VHDL描述 第六章 時序邏輯的MA
2011-03-03 15:40:330

verilog硬件描述語言課程講義

verilog硬件描述語言課程講義
2012-05-21 15:01:2933

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計].侯伯亭&顧新.掃描版

電子發(fā)燒友網(wǎng)站提供《[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計].侯伯亭&顧新.掃描版.txt》資料免費下載
2012-07-10 18:32:330

硬件描述語言(HDL)概述

電子發(fā)燒友網(wǎng)核心提示 :硬件描述語言HDL是一種用形式化方法描述數(shù)字電路和系統(tǒng)的語言。 利用這種語言,數(shù)字電路系統(tǒng)的設(shè)計可以從上層到下層(從抽象到具體)逐層描述自己的設(shè)
2012-10-15 10:36:083385

硬件描述語言HDL的典型代表

電子發(fā)燒友網(wǎng)核心提示 :目前,硬件描述語言(HDL)可謂是百花齊放,有VHDL、Superlog、Verilog、SystemC、Cynlib C++、C Level等等。電子發(fā)燒友網(wǎng)小編今天就帶大家一起來了解下幾種具有代表
2012-10-15 10:51:384127

VHDL描述語句

2014-01-10 13:50:171

經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(第三版)

電子發(fā)燒友網(wǎng)站提供《經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(第三版).txt》資料免費下載
2014-08-27 11:41:090

Verilog硬件描述語言參考手冊

Verilog硬件描述語言參考手冊,Verilog語法內(nèi)容介紹
2015-11-12 17:20:370

Verilog HDL硬件描述語

Verilog HDL硬件描述語言 有需要的下來看看
2015-12-29 15:31:270

硬件描述語VHDL入門

vhdl語言,第二章介紹。關(guān)于數(shù)字系統(tǒng)設(shè)計方面的知識。
2016-01-18 14:41:550

硬件描述語VHDL簡介

硬件描述語VHDL簡介,好東西,喜歡的朋友可以下載來學(xué)習(xí)。
2016-02-19 17:19:500

VHDL并行語句

這是vhdl并行語句的使用規(guī)則,介紹的pdf文件
2016-06-08 14:10:530

VHDL硬件描述語

VHDL語言編程學(xué)習(xí)之VHDL硬件描述語
2016-09-01 15:27:270

Verilog硬件描述語

VHDL語言編程學(xué)習(xí)Verilog硬件描述語
2016-09-01 15:27:270

硬件描述語VHDL

硬件描述語VHDL的學(xué)習(xí)文檔,詳細(xì)的介紹了VHDL
2016-09-02 17:00:5312

Verilog HDL硬件描述語

Verilog HDL硬件描述語言,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:1111

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 14:20:340

VHDL并行語句

VHDL并行語句
2016-12-11 23:38:390

VHDL硬件描述語言的學(xué)習(xí)

在小規(guī)模數(shù)字集成電路就要淘汰的今天,作為一個電類專業(yè)的畢業(yè)生應(yīng)該熟悉VHDL語言和CPLD、FPGA器件的設(shè)計,閻石教授新編寫的教材也加入了VHDL語言方面的內(nèi)容,可見使用VHDL語言將數(shù)字系統(tǒng)集成
2017-12-05 09:00:3120

8位移位寄存器vhdl代碼

VHDL作為電路的硬件描述語言,并且已經(jīng)在電路中得到了廣泛的運用。本文主要介紹了什么是vhdl、vhdl有哪些特點、vhdl的優(yōu)勢以及詳細(xì)的說明了8位移位寄存器vhdl代碼詳情。
2017-12-22 15:11:0116224

vhdl按鍵控制數(shù)碼管顯示

電路的設(shè)計。VHDL具有類屬描述語句和子程序調(diào)用等功能,對于己完成的設(shè)計源程序,可以通過修改類屬參數(shù)表和函數(shù)的辦法來改變設(shè)計的規(guī)模和結(jié)構(gòu)。下面來看看是如何實現(xiàn)的。
2018-01-16 16:55:3617309

vhdl和verilog的區(qū)別_vhdl和verilog哪個好?

國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言 。Verilog HDL是一種硬件描述語言(HDL:Hardware Description Language),以文本形式來描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。
2018-03-23 16:43:13121931

硬件描述語VHDL優(yōu)點及缺點

1987年, VHDL被正式確定為IEEE 1076標(biāo)準(zhǔn)。 VHDL是一種強類型語言, 具有豐富的表達(dá)能力, 可使各種復(fù)雜度(系統(tǒng)級、 電路板級、 芯片級、 門級)的電路網(wǎng)絡(luò)在同一抽象程度上被描述
2018-03-30 11:20:159

vhdl語法詳解

VHDL是超高速集成電路硬件描述語言 (Very High speed Integrated Circuit Hardware Description Language)的英文縮寫。語法和風(fēng)格: (1)類似與現(xiàn)代高級編程語言,如C語言。 (2)VHDL描述的是硬件,它包含許多硬件特有的結(jié)構(gòu)。
2018-03-30 15:41:2329

VHDL語言設(shè)計實體的基本結(jié)構(gòu)

VHDL語言是一種在EDA設(shè)計中廣泛流行的硬件描述語言,主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL語言的句法、語言形式和描述風(fēng)格十分類似于一般的計算機高級語言,是目前硬件描述語言中應(yīng)用最為廣泛的一種。
2018-03-30 16:04:2721

常見的Verilog行為級描述語

常見的Verilog描述語句與對應(yīng)的邏輯關(guān)系;熟悉語法與邏輯之間的關(guān)系
2018-09-15 08:18:039777

VHDL程序的順序語句如何應(yīng)用詳細(xì)實驗資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的順序語句如何應(yīng)用詳細(xì)實驗資料說明。一、 實驗?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序順序語句的應(yīng)用
2018-10-17 08:00:006

VHDL程序的并行語句如何應(yīng)用詳細(xì)實驗資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的并行語句如何應(yīng)用詳細(xì)實驗資料說明。一、 實驗?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序并行語句的應(yīng)用
2018-10-17 08:00:0023

VHDL硬件描述語言入門教程資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言入門教程資料免費下載包括了:1. VHDL語言基礎(chǔ),2. VHDL基本結(jié)構(gòu),3. VHDL語句,4. 狀態(tài)機在VHDL中的實現(xiàn),5. 常用電路VHDL程序,6. VHDL仿真,7. VHDL綜合
2019-04-08 08:00:0041

基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費下載:1995、2001、2005;SystemVerilog標(biāo)準(zhǔn):2005、2009
2020-06-18 08:00:0010

什么是vhdl語言_簡述vhdl語言的特點

什么是vhdl語言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

VHDL電路設(shè)計的優(yōu)化問題解決

VHDL電路設(shè)計的優(yōu)化與VHDL描述語句、EDA工具以及可編程器件(PLD)的選用都有著直接的關(guān)系。
2020-07-16 08:46:032333

VHDL概述及在描述數(shù)字電路時的結(jié)構(gòu)

本文介紹了一種硬件描述語VHDL,以及它在描述數(shù)字電路時的結(jié)構(gòu)。我們還將介紹一些介紹性的示例電路描述,并討論“std_logical”和“bit”數(shù)據(jù)類型之間的區(qū)別。
2020-07-21 11:30:341936

ASK調(diào)制VHDL程序及仿真資料免費下載

  基于VHDL硬件描述語言,對基帶信號進(jìn)行ASK振幅調(diào)制
2021-01-19 14:00:184

使用VHDL硬件描述語言實現(xiàn)FSK調(diào)制的詳細(xì)說明

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語言,對基帶信號進(jìn)行FSK調(diào)制。
2021-01-19 14:34:0019

基于VHDL硬件描述語言實現(xiàn)CPSK調(diào)制的程序及仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語言實現(xiàn)CPSK調(diào)制的程序及仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述語言實現(xiàn)基帶信號的MASK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實現(xiàn)基帶信號的MASK調(diào)制的程序與仿真。
2021-01-19 14:34:1713

使用VHDL硬件描述語言實現(xiàn)基帶信號的MFSK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實現(xiàn)基帶信號的MFSK調(diào)制的程序與仿真。
2021-01-19 14:34:194

如何使用VHDL硬件描述語言實現(xiàn)基帶信號的MPSK調(diào)制

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用VHDL硬件描述語言實現(xiàn)基帶信號的MPSK調(diào)制。
2021-01-19 14:34:212

使用VHDL硬件描述語言實現(xiàn)基帶碼發(fā)生器的程序設(shè)計與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實現(xiàn)基帶碼發(fā)生器的程序設(shè)計與仿真免費下載。
2021-01-20 13:44:1611

硬件描述語VHDL及其應(yīng)用的詳細(xì)說明

本文檔的主要內(nèi)容詳細(xì)介紹的是硬件描述語VHDL及其應(yīng)用的詳細(xì)說明。
2021-01-21 16:02:1121

VHDL的硬件描述語言基礎(chǔ)詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL的硬件描述語言基礎(chǔ)詳細(xì)資料說明包括了:簡介,基本結(jié)構(gòu),基本數(shù)據(jù)類型,設(shè)計組合電路,設(shè)計時序電路,設(shè)計狀態(tài)機,大規(guī)模電路的層次化設(shè)計,F(xiàn)unction and Procedure
2021-01-21 17:03:1618

VHDL硬件描述語言的學(xué)習(xí)課件免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言的學(xué)習(xí)課件免費下載包括了:VHDL概述,VHDL數(shù)據(jù)類型與數(shù)據(jù)對象,VHDL命令語句
2021-01-22 08:00:005

VHDL語言的詳細(xì)講解學(xué)習(xí)課件免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL語言的詳細(xì)講解學(xué)習(xí)課件免費下載包括了: ⅥHLD概述 VHLD的一些基本概念 VHDL的數(shù)據(jù)對象,數(shù)據(jù)類型及類型轉(zhuǎn)換,運算符等 VHDL的順序描述語句 VHDL
2021-01-22 17:52:1416

CN0540硬件描述語言設(shè)計

CN0540硬件描述語言設(shè)計
2021-03-23 00:07:264

關(guān)于HDL和行為語句詳解學(xué)習(xí)

關(guān)于HDL和行為語句:《一》 1. Verilog HDL和VHDL中,HDL的英文解釋(縮寫拼詞)是: Verilog HDL(Verilog Hardware Description
2021-04-15 15:44:022773

Verilog HDL verilog hdl和vhdl的區(qū)別

Verilog HDL是一種以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的硬件描述語言,也可描述邏輯電路圖、邏輯表達(dá)式等。Verilog HDL和VHDL是目前主流的、最受歡迎的兩種硬件描述語言。
2021-07-23 14:36:559911

VHDL與Verilog硬件描述語言如何用TestBench來進(jìn)行仿真

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2021-08-04 14:16:443307

vhdl描述半加器

vhdl描述半加器
2023-02-24 11:08:310

VHDL與Verilog硬件描述語言TestBench的編寫

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2023-09-09 10:16:56721

assign語句和always語句的用法

Assign語句和Always語句是在硬件描述語言(HDL)中常用的兩種語句,用于對數(shù)字電路建模和設(shè)計。Assign語句用于連續(xù)賦值,而Always語句用于時序邏輯建模。本文將詳細(xì)探討這兩種語句
2024-02-22 16:24:35245

已全部加載完成